交通灯控制电路的设计(实验报告)
交通灯控制实验报告
交通灯控制实验报告交通灯控制实验报告引言:交通灯是城市交通管理的重要组成部分,通过对交通流量的控制,有效地维护交通秩序和安全。
本次实验旨在通过搭建一个简单的交通灯控制系统,探究不同交通流量下的信号灯变化规律,并分析其对交通流畅度和效率的影响。
实验装置:实验装置由红、黄、绿三种颜色的LED灯组成,分别代表红灯、黄灯和绿灯。
通过按键控制,可以切换不同灯光的显示状态。
在实验过程中,我们将模拟不同交通流量情况下的信号灯变化。
实验过程:1. 低交通流量情况下:首先,我们模拟低交通流量情况。
设置红灯时间为20秒,绿灯时间为30秒,黄灯时间为5秒。
在这种情况下,红灯的时间较长,确保道路上的车辆能够安全通过。
绿灯时间相对较短,以充分利用交通资源,提高交通效率。
黄灯时间较短,用于过渡信号灯变化。
2. 中等交通流量情况下:接下来,我们模拟中等交通流量情况。
设置红灯时间为30秒,绿灯时间为40秒,黄灯时间为5秒。
在这种情况下,红灯时间相对较长,确保道路上的车辆能够顺利通过。
绿灯时间适中,以保持交通的流畅性。
黄灯时间依然较短,用于过渡信号灯变化。
3. 高交通流量情况下:最后,我们模拟高交通流量情况。
设置红灯时间为40秒,绿灯时间为50秒,黄灯时间为5秒。
在这种情况下,红灯时间最长,确保道路上的车辆能够完全通过。
绿灯时间相对较长,以缓解交通压力,提高交通效率。
黄灯时间仍然较短,用于过渡信号灯变化。
实验结果:通过实验观察,我们发现不同交通流量下的信号灯变化对交通流畅度和效率有着明显的影响。
在低交通流量情况下,红灯时间较长,确保车辆安全通过,但可能导致交通效率稍有降低。
在中等交通流量情况下,信号灯的设置更加平衡,保证了交通的流畅性和效率。
而在高交通流量情况下,红灯时间最长,确保车辆完全通过,但也导致交通效率相对较低。
结论:通过本次实验,我们得出了以下结论:交通灯的设置应根据不同交通流量情况进行合理调整,以保证交通的流畅性和效率。
交通灯控制电路的设计(实验报告)
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
交通信号灯控制器实验报告
交通信号灯控制器实验报告交通信号灯控制器一、设计任务及要求 (2)二、总体方案设计以及系统原理框图 (2)2.1、设计思路 (2)2.2、各模块相应的功能 (2)2.3、系统原理图 (3)三、单元电路设计 (3)3.1、车辆检测电路 (3)3.2、主控电路 (4)3.3、灯控电路 (5)3.4、计时控制电路 (6)3.5 、计时显示电路 (6)3.6、反馈控制电路 (7)3.7、置数电路 (7)3.8、时基电路 (7)四、工作原理 (8)五、电路的软件仿真及结果分析 (8)5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8)5.2、结果分析 (10)六、电路的组装调试 (10)6.1、使用的主要仪器和仪表 (10)6.2、调试电路的方法和技巧 (10)6.3、调试中出现的问题、原因和排除方法 (11)七、收获、存在的问题和进一步的改进意见 (11)7.1、存在的问题和进一步的改进意见 (11)7.2、收获以及心得体会 (12)附录一:电路所用元器件 (14)附录二:电路全图 (15)附录三:实际电路图 (16)、设计任务及要求在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下:1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状态。
2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20 秒,通行交替间隔时为5 秒。
3、通行状态转换依照“主道优先”的原则,即:当主道通行30 秒后,若支道无车则继续通行;当支道通行20 秒后,只有当支道有车且主道无车时才允许继续通行。
(用按键模拟路口是否有车)4、设计计时显示电路,计时方式尽量采用倒计时。
、总体方案设计以及系统原理框图2.1 、设计思路本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim 进行仿真,仿真成功后,再去实验室焊接调试。
交通灯实训实验报告
一、实验目的1. 理解交通灯控制系统的工作原理。
2. 掌握使用单片机进行交通灯控制系统的设计与实现。
3. 提高动手实践能力和问题解决能力。
二、实验原理交通灯控制系统通常采用单片机作为核心控制单元,通过编程实现对交通灯的红、黄、绿三种灯光状态的切换。
本实验采用单片机(如STC89C52)作为核心控制单元,利用定时器实现灯光的定时切换,并通过LED灯模拟交通灯的灯光状态。
三、实验器材1. 单片机开发板(如STC89C52开发板)2. LED灯(红、黄、绿各一个)3. 电阻(根据LED灯的规格选择)4. 跳线5. 编程器6. 计算机四、实验步骤1. 硬件连接:- 将红、黄、绿LED灯分别连接到单片机的P1.0、P1.1、P1.2端口。
- 将电阻串联在每个LED灯的两端,防止LED灯过载。
- 将跳线连接到单片机的相关引脚,用于编程和调试。
2. 软件编程:- 使用Keil软件编写单片机程序,实现交通灯的控制逻辑。
- 设置定时器,实现灯光的定时切换。
- 编写主循环程序,根据定时器的值切换LED灯的状态。
3. 程序调试:- 将程序烧录到单片机中。
- 使用示波器或逻辑分析仪观察LED灯的状态,确保程序运行正常。
4. 实验验证:- 将LED灯连接到实际交通灯的位置。
- 启动单片机,观察LED灯的状态是否符合交通灯的控制逻辑。
五、实验结果与分析1. 实验结果:- 红灯亮时,表示禁止通行。
- 绿灯亮时,表示允许通行。
- 黄灯亮时,表示准备切换到红灯。
2. 实验分析:- 通过本次实验,掌握了使用单片机进行交通灯控制系统的设计与实现。
- 了解了定时器在实现灯光切换中的作用。
- 提高了动手实践能力和问题解决能力。
六、实验总结1. 优点:- 实验操作简单,易于上手。
- 理论与实践相结合,提高了学生的动手能力。
2. 不足:- 实验内容较为简单,未能涉及到复杂交通灯控制系统的设计。
- 实验器材较为有限,限制了实验的拓展性。
七、实验拓展1. 研究复杂交通灯控制系统的设计,如多路口交通灯协同控制。
交通灯控制系统设计 实验报告
综合设计实验项目名称:交通灯控制系统设计适用年级: 2所属课程:微型计算机原理班级:2011级电通6C班姓名:林学号:**********x日期:2013/6/23一、实验目的:要求学生综合所学的软硬件知识,并应用基础实验所获得的实验设计技能,独立设计解决实际应用问题的系统。
二、设计要求:(1)东西方向和南北方向交替准行控制1)使东西方向准行时,东西方向上的绿灯亮,南北方向的红灯亮,经过15秒后,使南北方向准行时,南北方向上的绿灯亮,东西方向的红灯亮,如此交替。
2)假设东西方向是主要交通干道,当在南北方向准行15秒时间未到时,检测到东西方向已聚集了8辆车,则提前使东西方向准行,南北方向禁行。
(2)特殊控制1)当准行和禁行时间倒计时到最后3秒时,准行方向上绿灯亮的同时该方向的黄灯闪烁,而禁行方向上的红灯亮同时黄灯也闪烁。
2)在数码管上显示准行时间,并以秒倒计时。
说明:设实验箱发光二极管单元中的一个绿灯为东西方向上的绿灯,最后一个绿灯为南北方向上的绿灯;第一个红灯为东西方向上的红灯,最后一个红灯为南北方向上的红灯;第二个红灯为东西方向上的黄灯,第三个红灯为南北方向上的黄灯。
三、具体设计内容:8255初始化:A、B、C口方式0输出A口低4位输出位选,B口输出显示代码C口输出灯控信号8253初始化:T0定时20ms,方式2/3,IRQ0 中断T2计数,方式0,IRQ7中断时钟计数初始化:N1=25,N2=2,N3=15,0.5S 1S 15SK=0,(0前10S/FFH后15S)东西准行信号,南北准行信号黄灯亮,黄灯灭显示秒数的个位显示秒数的十位N3变为非压缩BCD 码存入显示缓冲区四、实验中的芯片及线路:1.实验系统中的8253芯片图1 系统中的8253单元系统中的8253芯片的0#通道输出线与8259的0#中断请求线相连,作为实时钟中断信号。
1#通道输入1.8253MHZ的信号源,输出接8251的收发时钟端,供串行通信用。
交通灯设计实验报告
一、实验目的1. 理解交通灯控制系统的基本原理和设计方法。
2. 掌握使用单片机进行交通灯控制系统的设计与实现。
3. 培养动手实践能力和团队协作精神。
二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其主要目的是通过红、黄、绿三种信号灯的变换,实现对车辆和行人的有序通行。
本实验采用单片机作为控制核心,通过编写程序实现对交通灯的控制。
三、实验设备1. 单片机开发板(如51单片机开发板)2. 交通灯模块(红、黄、绿三色LED灯)3. 按键模块4. 数码管模块5. 电阻、电容等电子元器件6. 调试工具(如万用表、示波器等)四、实验步骤1. 系统设计(1)确定交通灯控制系统的功能需求:实现红、黄、绿三色LED灯的交替闪烁,满足交通信号灯的基本要求。
(2)设计系统框图:单片机作为核心控制单元,通过编写程序实现对交通灯的控制。
系统框图如下:```+------------------+ +------------------+ +------------------+| | | | | || 单片机 |-------| 交通灯模块 |-------| 按键模块|| | | | | |+------------------+ +------------------+ +------------------+```(3)编写程序:根据系统需求,编写单片机控制程序,实现红、黄、绿三色LED灯的交替闪烁。
2. 硬件搭建(1)将单片机开发板与交通灯模块、按键模块、数码管模块等连接。
(2)根据电路原理图,连接电阻、电容等电子元器件。
(3)使用万用表测试电路连接是否正确。
3. 软件编程(1)使用C语言编写单片机控制程序。
(2)编译程序,生成可执行文件。
(3)将可执行文件烧录到单片机中。
4. 系统调试(1)使用示波器观察单片机引脚输出波形。
(2)检查交通灯模块是否正常工作。
(3)使用万用表测试按键模块是否正常工作。
(4)根据实际情况调整程序参数,确保系统稳定运行。
(2023)交通灯设计实验报告(一)
(2023)交通灯设计实验报告(一)交通灯设计实验报告实验目的该实验旨在设计一种新型交通灯,以提高路口交通的安全性、高效性和可靠性。
实验背景当前的交通灯系统虽然在一定程度上起到了规范和控制车辆流量的作用,但也存在一些问题,如:•路口拥堵现象普遍,尤其在高峰时间段更加明显;•一些交通灯时间过长,造成车辆等待时间过长,浪费时间和资源;•部分路口交通灯信号错乱、不同步等问题,导致道路交通的混乱和车祸事故频发。
针对以上问题,需要设计一种更为智能化的交通灯系统。
设计理念本设计基于物联网、人工智能等技术,旨在实现以下目标:•基于现有路况和历史流量数据,动态调整交通灯信号时间,避免过长等待和拥堵;•设计交通灯与车辆无线连接,实现智能标识和导航功能,提高车辆通过路口的效率;•通过网络连接交通灯系统,实现自适应和自主控制,避免信号错乱和路况混乱。
实验流程1.确定设计方案并绘制原始草图;2.设计系统图以及各子系统功能模块图,并对其进行优化;3.利用物联网和人工智能技术实现交通灯与车辆的联动;4.设计并实现相关硬件电路、软件程序、以及移动端APP等;5.进行系统整体测试,实现效果评估。
实验成果经过多次实验和测试,本设计方案成功实现了自适应、自主控制、智能导航、智能标识等功能,基本满足设计理念所要求的目标。
总结与展望本设计方案采用了一些前沿的技术和方法,旨在提高交通灯的安全性、高效性和可靠性。
虽然目前我们的系统表现出了良好的效果,但是我们仍然需要不断优化和完善,以达到更为完美的状态。
未来,我们将继续深入探索物联网和人工智能等新技术的应用,进一步优化交通灯的设计和性能,提高其功能和可靠性。
同时,我们也将进一步研究和推广交通智能化技术,为城市交通管理和交通安全事业做出更大的贡献。
参考文献•王锐等. 基于物联网技术的智能交通灯设计[J]. 电子设计工程, 2018, 26(2): 78-80.•李超等. 基于人工智能的交通灯控制算法设计[J]. 江苏电力技术, 2017, 41(9): 129-133.•彭小敏. 基于人工智能与物联网的交通安全管理[J]. 信息通信, 2019, 18(1): 47-50.。
交通灯控制逻辑电路实验报告
交通灯控制逻辑电路实验报告福州大学阳光学院题目:交通灯逻辑控制电路设计系别:电子信息工程系班级:2011级通信(二)班学号:241199196姓名:蒋联水指导老师:杨XX目录1、设计的目的及任务 (1)1.1 设计的目的 (1)1.2 设计的任务和要求 (1)1.3 扩展的要求 (2)2、电路设计总方案及原理框图 (2)2.1 电路设计总方案 (2)2.2 原理框图 (3)2.3 内容摘要 (3)3、各单元电路的工作原理 (3)3.1 秒脉冲产生电路 (3)3.2 倒计时计数器以及显示电路的设计 (6) 3.2.1 倒计时电路 (6)3.2.2 显示电路 (7)3.3信号灯的转换方法 (8)3.3.1 四分频电路 (8)3.3.2 信号灯的转换电路 (9)3.4倒计时计数器与信号灯转换器的连接 (10) 3.5白天夜间模式切换的设计 (10)3.6模拟汽车行驶电路设计 (11)4、总设计电路图 (11)5、电路的安装及调试 (12)6、实验结果检验 (12)7、总结及心得体会 (13)参考文献 (14)附录1 器件明细表 (14)1、设计的目的及任务1.1设计的目的这次的数字电路课程设计主要综合了解与运用所学的知识,通过这次课程设计来检查2012—2013上半期的学习状况。
通过制作来了解交通灯控制系统,了解555多谐振荡器、D触发器、移位寄存器、加减法计数器、译码器、数码管以及各种门电路芯片的作用等。
交通灯控制系统主要是实现城市交叉路口红绿灯的控制。
在现代化的大城市中,十字交叉路口越来越多,在每一个交叉路口都需要有一个准确的时间间隔和转换顺序,这就需要一个安全、自动的系统对红、黄、绿的转化进行管理。
本次的设计就是基于此目的进行的。
1.2设计的任务和要求(1)、满足图1-1顺序工作流程。
图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。
它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。
交通灯控制电路实验报告
译码电路
•
译码器的主要任务是将控制器的输出 Q1、 Q2的4种工作 状态,翻译成东西道、南北道上6个信号灯的工作状态控制器中的 信号在送给由芯片74LS08N组成的译码器后再通过电路中的指示 灯得到交通灯的逻辑电路,这种电路的结果最终通过小灯的正常闪 烁来实现。
显示部分
显示部分由74LS48D和共阴极七段数码管组成, 74LS48D作为译码器,对74LS192N的输出信号进行译 码,然后通过七段数码管显示出74LS192N的计数。即 交通灯需要显示的时间。
交通灯控制电路
设计要求:
(1) 东西红灯(南北绿灯)亮; (2)东西红灯(南北绿灯)亮,且南北黄灯 闪烁; (3)南北红灯(东西绿灯)亮; (4)南北红灯(东西绿灯)亮,且东西黄灯 闪烁; 假设绿灯亮12秒,然后黄灯亮2秒,红灯 亮14秒。
设计方案
• 交通灯控制电路主要是按照交通规则:“红灯 停, 绿灯行,黄灯等一等”来设计的。主要由控 制器、定 时器、译码器、秒脉冲信号发生器和显示部 分组成。 秒脉冲发生器是该系统中定时器和控制器的 标准时 钟信号源,译码器输出两组信号灯的控制信 号,经 驱动电路后驱动信号灯工作,控制器是系统 的主要 部分,由它控制定时器和译码器的工作。
秒脉冲发生器
秒脉冲发生器是由+5V的交流电源来产生100HZ的 频率来驱动整个电路的,使电路示出12秒,2秒的时间,故考 虑双时钟输入的十进制计数器74LS192。74LS192是同 步十进制可逆计数器,为双时钟输入,具有同步清零和 同步置数等功能。
控制器
控制器是交通管理的核心,它应该能够按照交通管 理规则控制信号灯工作状态的转换。74LS112为带预置 和清除端的两组J-K触发器,是下降边沿触发的边沿触 发器。
交通灯控制系统设计-实验报告
交通灯控制系统设计-实验报告
实验目的:设计一个交通灯控制系统,实现对交通灯的自动控制。
实验材料:
1. Arduino UNO开发板
2. 红绿黄LED灯各1个
3. 杜邦线若干
实验原理:
交通灯系统的控制主要是通过控制LED灯的亮灭来实现。
红
色LED灯表示停止,绿色LED灯表示通行,黄色LED灯表
示警示。
通过控制不同LED灯的亮灭状态,可以模拟交通灯
的不同信号。
实验步骤:
1. 将红色LED灯连接到Arduino开发板的数字输出引脚13,
绿色LED灯连接到数字输出引脚12,黄色LED灯连接到数
字输出引脚11。
2. 在Arduino开发环境中编写控制交通灯的程序。
3. 将Arduino开发板与计算机连接,将程序上传到Arduino开
发板中。
4. 接通Arduino开发板的电源,观察交通灯的亮灭状态。
实验结果:
根据程序编写的逻辑,交通灯会按照规定的时间间隔进行变换,实现红绿灯的循环。
实验总结:
通过本次实验,我们设计并实现了一个简单的交通灯控制系统。
掌握了Arduino编程和控制LED灯的方法,加深了对控制系
统的理解。
通过实验,我们发现了交通灯控制系统的重要性和意义,为今后的交通控制提供了一种可行的解决方案。
交通灯设计实验报告
《电子技术实践及仿真》孙丽霞主编
《数字电子计数基础》周良权主编
0
1
0
0
0
0
1
3
1
1
1
0
0
0
1
0
0
0
0
1
4
1
1
1
1
0
0
1
0
0
0
0
1
5
1
1
1
1
1
0
0
1
0
0
0
1
6
1
1
1
1
1
1
0
0
1
1
0
0
7
0
1
1
1
1
1
0
0
1
1
0
0
8
0
0
1
1
1
1
0
0
1
1
0
0
9
0
0
0
1
1
1
0
0
1
1
0
0
10
0
0
0
0
1
1
0
0
1
1
0
0
根据状态表,不难列出东西方向和南北方向绿,黄,红灯的逻辑表达式:
东西方向绿灯:EWG=Q4Q5
图3
B.控制器电路
本实验选用8位寄存器74LS164组成扭环行十二进制计数器。扭环型十二进制计数器的模块电路图4示:
表5扭环形十二进制计数器的状态表
t
计数器输出
南北方向
东西方向
Q0
交通灯控制实验报告
一、实验目的1. 理解交通灯控制系统的工作原理和基本组成。
2. 掌握PLC(可编程逻辑控制器)编程和调试方法。
3. 学习交通灯控制系统的硬件连接和电路设计。
4. 提高实际应用中解决复杂问题的能力。
二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其基本原理是通过对交通信号灯进行控制,实现交通流量的有序疏导。
本实验采用PLC作为控制核心,通过编写程序实现对交通灯的定时控制。
三、实验器材1. PLC主机2. 交通灯控制模块3. 电源模块4. 交通灯模型5. 连接线四、实验步骤1. 硬件连接:- 将PLC主机与交通灯控制模块、电源模块和交通灯模型连接。
- 将PLC主机与计算机连接,以便进行程序编写和调试。
2. 程序编写:- 根据交通灯控制要求,编写PLC程序。
- 程序主要包括以下部分:- 启动信号处理:检测启动开关状态,控制交通灯开始工作。
- 定时控制:根据设定的时间,控制交通灯的红、黄、绿灯亮灭。
- 紧急处理:检测紧急处理开关状态,实现交通灯的紧急控制。
3. 程序调试:- 在计算机上运行PLC程序,观察程序运行效果。
- 根据实际情况,对程序进行调试和优化。
4. 实验验证:- 在实际硬件环境中运行程序,观察交通灯控制效果。
- 验证程序是否满足实验要求。
五、实验结果与分析1. 实验结果:- 在实验过程中,成功实现了交通灯的控制,实现了红、黄、绿灯的定时切换。
- 在紧急情况下,能够实现交通灯的紧急控制。
2. 结果分析:- 通过实验,掌握了PLC编程和调试方法,提高了实际应用中解决复杂问题的能力。
- 实验结果表明,所设计的交通灯控制系统具有良好的稳定性和可靠性。
六、实验总结本次实验成功实现了交通灯控制系统的设计与实现,达到了预期目标。
通过实验,我们掌握了以下知识点:1. 交通灯控制系统的工作原理和基本组成。
2. PLC编程和调试方法。
3. 交通灯控制系统的硬件连接和电路设计。
本次实验提高了我们的实际应用能力,为以后从事相关领域工作奠定了基础。
实验八交通灯控制电路的设计
特殊灯光信号
在某些情况下,交通灯还具 有特殊的灯光信号,如左转 箭头、行人过街等,以满足 不同交通需求。
控制电路设计思路
微控制器核心
采用微控制器作为控制核心,通过编程 实现交通灯灯光信号的时序控制。
输出驱动电路
设计合适的输出驱动电路,以驱动交 通灯的LED或灯泡,确保灯光信号的
稳定性和亮度。
输入信号处理
经验教训分享
电路设计需严谨
在电路设计时,应充分考虑元器件的选型、布局 和连接方式,确保电路的稳定性和可靠性。
调试过程需耐心
在电路调试过程中,遇到问题时需保持冷静,耐 心分析并逐一排查故障,确保电路的正常运行。
团队协作很重要
在实验过程中,团队成员之间应充分沟通、协作 配合,共同解决问题,提高工作效率。
问题诊断及优化措施
问题诊断
针对仿真结果中不符合设计要求的部分,进行问题诊断,找出 可能的原因,如元器件参数不合适、电路连接错误等。
优化措施
根据问题诊断的结果,采取相应的优化措施,如调整元器件参数、修 改电路连接方式等,以提高交通灯控制电路的性能和稳定性。
再次仿真测试
对优化后的交通灯控制电路进行再次仿真测试,验证优化 措施的有效性,并记录优化后的仿真结果。
06
实验总结与展望
实验成果总结
交通灯控制电路的成功设计
通过合理的电路设计和元器件选择,成功实现了交通灯的红黄绿 灯光控制,且运行稳定可靠。
实Hale Waihona Puke 了定时控制功能通过内置的定时器模块,实现了交通灯的定时控制,使得灯光能够 按照设定的时间间隔进行切换。
完成了实验报告与演示
详细记录了实验过程、数据分析、电路图及实验结果,并进行了实 验演示,验证了交通灯控制电路设计的可行性。
交通灯控制器设计实验报告
一、实习目的:1. 通过实习让学生掌握开关电源整机电路;2. 能够根据印制电路板画出整机电路图;3. 能够识别检测开关电源的元器件;4. 能够正确拆卸和焊接元器件;5. 会测试主要工作点的阻值、电压和波形;6. 能够根据故障现象判断故障部位;7. 能够进行实际故障维修。
二、实训器材:万用表、开关电源套件、电烙铁、焊锡、吸锡器。
三、实习原理与步骤:1.认识拆卸、检测元器件。
电阻:5.6Ω,270k,5.1k,270Ω,2.7k,10k,15k。
四个色环电阻的识别:第一、二环分别代表两位有效数的阻值;第三环代表倍率;第四环代表误差。
五个色环电阻的识别:第一、二、三环分别代表三位有效数的阻值;第四环代表倍率;第五环代表误差。
然后用万用表将两表笔(不分正负)分别与电阻的两端引脚相接测出实际电阻值进行比对。
为了提高测量精度,应根据被测电阻标称值的大小来选择量程。
电容:100uF/400WV,100uF/50,10uF/350,100uF/160,22uF/50V,57PF,47000PF。
用万用表进行测量,只能定性的检查其是否有漏电,内部短路或击穿现象。
测量时,可选用万用表R×10k挡,用两表笔分别任意接电容的两个引脚,阻值应为无穷大。
若测出阻值(指针向右摆动)为零,则说明电容漏电损坏或内部击穿。
二极管:1N4007,RG2,FR107。
测试前先把万用表的转换开关拨到欧姆档的RX1K档位(注意不要使用RX1档,以免电流过大烧坏二极管),再将红、黑两根表笔短路,进行欧姆调零。
①正向特性测试,把万用表的黑表笔(表内正极)搭触二极管的正极,红表笔(表内负极)搭触二极管的负极。
若表针不摆到0值而是停在标度盘的中间,这时的阻值就是二极管的正向电阻,一般正向电阻越小越好。
若正向电阻为0值,说明管芯短路损坏,若正向电阻接近无穷大值,说明管芯断路。
短路和断路的管子都不能使用。
②反向特性测试,把万且表的红表笔搭触二极管的正极,黑表笔搭触二极管的负极,若表针指在无穷大值或接近无穷大值,管子就是合格的。
交 通 灯 控 制 逻 辑 电 路 设 计
交通灯控制逻辑电路设计实验报告书专业:车辆工程年级:06车辆3组员:冯嘉俊关智恒学号:200630480306200630480308一、设计任务:1.设计一个十字路口的交通灯控制系统,要求车道上的车辆交替运行,每次通行时间都设为20秒;2.要求黄灯先亮5秒,才能变换运行车道;3.黄灯亮时,要求每秒钟闪亮一次(可选)。
二、任务分配:1.冯嘉俊:负责资料搜集,以及分析逻辑功能和算法,以及后期报告书编写的协助。
2.关智恒:负责后期利用软件编写以及仿真,报告书的编写。
三、交通灯控制策略:S1:东面道路放行,其余均停止行驶;即东面绿灯-黄灯,其余红灯。
S2:南面道路放行,其余均停止行驶;即南面绿灯-黄灯,其余红灯。
S3:西面道路放行,其余均停止行驶;即西面绿灯-黄灯,其余红灯。
S4:北面道路放行,其余均停止行驶;即北面绿灯-黄灯,其余红灯。
工作循环流程:S1——S2——S3——S4——S1,工作间隔为25S。
工作详细状态:1.东面道路绿灯亮,其余道路红灯。
利用延时口令,保持此工作状态S1.1 20S。
时间过后,自动跳入S1.2,此时东面黄灯亮,其余道路亮红灯。
再次利用时间延时口令,令黄灯亮1秒;与此同时,利用循环口令,重复循环S1.2工作状态5次,即可达到黄灯每隔1S闪动,并闪动5S。
之后自动跳入S2工作状态。
2.南面道路绿灯亮,其余道路红灯。
利用延时口令,保持此工作状态S2.1 20S。
时间过后,自动跳入S2.2,此时东面黄灯亮,其余道路亮红灯。
再次利用时间延时口令,令黄灯亮1秒;与此同时,利用循环口令,重复循环S2.2工作状态5次,即可达到黄灯每隔1S闪动,并闪动5S。
之后自动跳入S3工作状态。
3.西面道路绿灯亮,其余道路红灯。
利用延时口令,保持此工作状态S3.1 20S。
时间过后,自动跳入S3.2,此时东面黄灯亮,其余道路亮红灯。
再次利用时间延时口令,令黄灯亮1秒;与此同时,利用循环口令,重复循环S3.2工作状态5次,即可达到黄灯每隔1S闪动,并闪动5S。
交通灯实验报告
实验报告DIY电子达人大赛题目:基于STC89C52的交通灯电路设计队名追梦少年队长吴绍锋队员孙春禄、杨泽2014年4月交通灯控制电路设计报告目录一.设计任务和要求 (2)二.设计方案的总体思路与选择 (3)1.时钟信号发生器电路设计论证 (4)2.定时器设计论证 (4)3.控制器论证 (4)4.信号灯的论证 (4)三.电路设计计算与分析 (5)1.秒脉冲的设计 (5)2.定时器电路的设计 (6)3.控制器的设计 (8)4.信号灯的设计 (13)四.Multisim简介 (14)五.总结及心得 (15)六.附录 (16)1.总原理图 (16)2.元件清单 (17)一.设计任务和要求1.用红、绿、黄三色发光二极管作信号灯,两位的共阳数码管显示时间。
2.当南北主道允许通行亮绿灯时,南北人行道亮绿灯,东西主道亮红灯,东西人行道亮红灯,而东西主道允许亮绿灯时,东西人行道亮绿灯,南北主道亮红灯,南北人行道亮红灯。
3.南北道交替允许通行,南北道每次放行30s、东西道30s。
设计30s计时显示电路。
4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中德车辆有时间停到禁止线以外,设置5s计时显示电路。
5.人行道绿灯亮时蜂鸣器响,以提醒行人可以通行。
原件清单如下图:元件序名称数量备注号1 STC89C52RC 1 单片机2 74H573 2 锁存器3 发光二极管20 信号灯4 有源蜂鸣器 4 警报器5 8550三极管 4 放大电流6 12MHZ晶振 17 22uF瓷片电容 28 10uF电解电容 19 按键 110 330欧电阻 411 1K电阻 112 开关 113 电池盒 1若干14 杜邦线二.系统硬件设计方案(1)1.设计要求和目的此设计采用STC89C52单片机为核心控制元件,结合数码管,发光二极管等器件;利用了单片机的延时电路,按键复位电路,时钟电路,定时中断等电路构成一个用于十字路口的交通灯系统。
交通灯控制电路实验报告
交通灯控制电路实验报告交通灯控制电路实验报告引言:交通灯是城市交通管理的重要组成部分,它通过控制交通流量,维护交通秩序和安全。
交通灯的控制电路是实现交通灯工作的核心部件,本实验旨在通过搭建一个基本的交通灯控制电路,了解其工作原理和电路组成。
实验目的:1. 掌握交通灯控制电路的基本原理和工作方式;2. 学习使用电子元件搭建交通灯控制电路;3. 理解交通灯控制电路中各部件的功能和作用。
实验材料:1. 电路板;2. 电子元件:LED灯(红、黄、绿),电阻,电容;3. 连接线;4. 电源。
实验步骤:1. 将电路板连接到电源,确保电源正常工作;2. 根据电路图,将LED灯、电阻和电容等电子元件按照正确的连接方式搭建交通灯控制电路;3. 确保电路连接正确无误后,打开电源,观察交通灯的工作状态;4. 分别测试交通灯红、黄、绿灯的亮度和闪烁频率,并记录实验结果;5. 关闭电源,拆除电路。
实验结果:通过实验搭建的交通灯控制电路,我们观察到了交通灯的正常工作状态。
红灯亮起时,表示禁止通行;黄灯亮起时,表示准备停车;绿灯亮起时,表示可以通行。
我们还发现,红灯和黄灯会有一定的闪烁频率,而绿灯则保持稳定亮起。
实验分析:交通灯控制电路的工作原理是通过改变电路中的电阻和电容来控制LED灯的亮灭和闪烁频率。
当电路中的电阻和电容数值不同时,交通灯的工作状态也会有所不同。
例如,增大电阻值可以使红灯和黄灯的闪烁频率减慢,而减小电容值可以使绿灯的亮度增加。
实验总结:通过本次实验,我们深入了解了交通灯控制电路的工作原理和电路组成。
我们学会了使用电子元件搭建交通灯控制电路,并通过观察实验结果,加深了对交通灯的工作原理的理解。
此外,我们还通过调整电阻和电容的数值,改变了交通灯的亮灭和闪烁频率,进一步掌握了交通灯控制电路的调节方法。
实验的局限性:本实验只是搭建了一个基本的交通灯控制电路,没有考虑到实际交通灯系统中的复杂情况。
实际交通灯系统可能包含更多的电子元件和控制器,以满足更复杂的交通流量控制需求。
交通灯控制器 EDA实验报告
一、实验目的1、研究交通灯控制器的工作原理,进行功能设计;2、加深VHDL语言的理解;3、熟练掌握自上而下的分层设计方法;4、熟练掌握EDA软件QUARTUSII的开发流程。
二、实验仪器PC机一台;QUARTUSII 13.0软件;新型设备实验板Altera Blaster下载器三、题目解析1.东西,南北两个方向有红、黄、绿灯指示是否允许通行2. 设置时钟,以倒计时方式显示允许通行的时间3. 绿灯、黄灯、红灯的持续时间分别设置为20秒、10秒和30秒(时间也开自行设置)4. 当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,交通控制系统可由交警手动控制进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时。
特殊运行状态结束后,管理系统恢复状态继续正常运行四、实验原理五、模块设计以及总电路图1.简要说明由于两个交通灯的倒计时数字的显示是相同的,所以我们这次只使用了两个七段数码管来显示两个交通灯的时间。
我们把60s一个大循环分成了如图所示四个状态s0~s3,且还有一个s4为紧急状态。
当s4启动时,时钟暂停计时,交通灯均亮红灯。
有一个en为紧急状态按钮,rst为复位键,倒计时回到30s,转固态回到s0。
2.分频器模块本次课程使用的实验板默认50MHz晶振。
i_clk为输入信号,用于晶振信号输入;i_rst为复位信号;o_clk为分频信号,输出1Hz;3.LED灯控制模块通过给定的时钟输入判断当前状态下的LED灯点亮情况。
l_clk时钟信号输入l_rst复位l_en为使能端,用于紧急状况4.数码管显示模块通过给定的时钟输入判断当前时间的数字显示c_clk时钟信号输入c_rst复位c_en紧急out_h高位输出用于控制十位out_l地位输出用于控制个位5.数码管将上一部分输出信号进行译码显示6.总电路图根据题意,将各个模块根据原理连接起来,形成如图电路。
六、各个模块代码1.分频器LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;entity FREQUENCY_DIVIDER isgeneric(sys_clk_fre:INTEGER:=50000000;//输入频率div_clk_fre:INTEGER:=1//目标频率);PORT(i_clk : IN STD_LOGIC;i_rst : IN STD_LOGIC;o_clk : OUT STD_LOGIC);END FREQUENCY_DIVIDER;ARCHITECTURE FREQUENCY_DIVIDER_architecture OF FREQUENCY_DIVIDER ISsignal r_div_count:STD_LOGIC_VECTOR(31 downto 0);signal r_div_CLK:STD_LOGIC;BEGINprocess(i_clk,i_rst)beginif(i_rst='1')then //复位r_div_count<=x"00000000";r_div_clk <= '0';elsif(i_clk'event and i_clk='1')thenif(r_div_count=sys_clk_fre/div_clk_fre/2-1)thenr_div_count <= x"00000000";r_div_clk <= NOT r_div_clk;elser_div_count <= r_div_count+1;end if;end if;end process;o_clk <= r_div_clk;END FREQUENCY_DIVIDER_architecture;2.LED控制LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY light_control ISPORT(l_clk : IN STD_LOGIC;l_rst : IN STD_LOGIC;l_en : IN STD_LOGIC;red1 : OUT STD_LOGIC;red2 : OUT STD_LOGIC;ye1 : OUT STD_LOGIC;ye2 : OUT STD_LOGIC;green1 : OUT STD_LOGIC;green2: OUT STD_LOGIC);END light_control;ARCHITECTURE light_control_architecture OF light_control IS type state_type is(s0,s1,s2,s3,s4);signal current_state,next_state:state_type;signal counter:std_logic_vector(5 downto 0);BEGINsynch:process(counter)//60s循环beginif l_rst='1'thencounter<="000000";elsif l_clk'event and l_clk='1'thenif l_en='1' thencounter<=counter;elseif counter<59 thencounter<=counter+1;elsecounter<="000000";end if;end if;end if;end process;process(l_rst,l_clk)//状态转换beginif l_rst='1'thencurrent_state<=s0;elsif l_clk'event and l_clk='1'thencurrent_state<=next_state;end if;end process;state_trans:process(current_state)begincase current_state iswhen s0=>if l_en='1' thennext_state<=s4;elseif counter<26 thennext_state<=s0;elsenext_state<=s1;end if;end if;when s1=>if l_en='1' thennext_state<=s4;elseif counter<29 thennext_state<=s1;elsenext_state<=s2;end if;end if;when s2=>if l_en='1' thennext_state<=s4;elseif counter<56 thennext_state<=s2;elsenext_state<=s3;end if;end if;when s3=>if l_en='1' thennext_state<=s4;elseif counter<59 thennext_state<=s3;elsenext_state<=s0;end if;end if;when s4=>if l_en='1' thennext_state<=s4;elseif counter<19 thennext_state<=s0;elsif counter<29 thennext_state<=s1;elsif counter<49 thennext_state<=s2;elsif counter<59 thennext_state<=s3;elsenext_state<=s0;end if;end if;end case;end process;output:process(current_state)//状态输出begincase current_state iswhen s0=>red1<='0';green1<='1';ye1<='0';red2<='1';green2<='0';ye2<='0';when s1=>red1<='0';green1<='0';ye1<='1';red2<='1';green2<='0';ye2<='0';when s2=>red1<='1';green1<='0';ye1<='0';red2<='0';green2<='1';ye2<='0';when s3=>red1<='1';green1<='0';ye1<='0';red2<='0';green2<='0';ye2<='1';when s4=>red1<='1';green1<='0';ye1<='0';red2<='1';green2<='0';ye2<='0';end case;end process;END light_control_architecture;3.计时器LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY counter IS-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!PORT(c_clk : IN STD_LOGIC;c_rst : IN STD_LOGIC;c_en : IN STD_LOGIC;out_h : OUT STD_LOGIC_VECTOR(3 downto 0);out_l : OUT STD_LOGIC_VECTOR(3 downto 0));-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!END counter;-- Architecture BodyARCHITECTURE counter_architecture OF counter ISsignal coul,couh:std_logic_vector(3 downto 0);beginprocess(c_rst,c_clk,c_en)beginif c_rst='1' thencoul<="0000";couh<="0011";//这里是如果启用了复位键,就会从的第一个小循环30s开始倒计时,所以高位是0011也就是3,低位从0开始倒计时,即30→29…elsif c_clk'event and c_clk = '1' thenif c_en='1'thencouh<=couh;coul<=coul;elseif c_rst='0' thenif(coul=1 and couh=0)thencouh<="0011";coul<="0000";elsif coul=0 thencoul<="1001";couh<=couh-1;elsecoul<=coul-1;//这里是每减少10s高位会减1,如20→19高位从2到1,低位置9end if;end if;end if;end if;end process;out_h<=couh;out_l<=coul;END counter_architecture;4译码显示LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;-- Entity DeclarationENTITY digits_h IS-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!PORT(d_h : IN STD_LOGIC_VECTOR(3 downto 0);a : OUT STD_LOGIC;b : OUT STD_LOGIC;c : OUT STD_LOGIC;d : OUT STD_LOGIC;e : OUT STD_LOGIC;f : OUT STD_LOGIC;g : OUT STD_LOGIC);-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!END digits_h;ARCHITECTURE digits_h_architecture OF digits_h IS signal tmp:std_lOGIC_VECTOR(6 DOWNTO 0);beginprocess (d_h)begincase d_h iswhen"0000"=>tmp<="0000001";when"0001"=>tmp<="1001111";when"0010"=>tmp<="0010010";when"0011"=>tmp<="0000110";when"0100"=>tmp<="1001100";when"0101"=>tmp<="0100100";when"0110"=>tmp<="0100000";when"0111"=>tmp<="0001111";when"1000"=>tmp<="0000000";when"1001"=>tmp<="0000100";when others=>tmp<="1111111";end case;end process;a<=tmp(6);b<=tmp(5);c<=tmp(4);d<=tmp(3);e<=tmp(2);f<=tmp(1);g<=tmp(0);END digits_h_architecture;七、实验验证验证通过!八、心得体会通过本次数字电路与逻辑实验课程的学习以及大作业的完成,我们学习了EDA相关的编程语言基础语法与软件的基本使用,掌握了一定的基础能力,了解电子设计自动化这片领域的重要性以及其发展,实践了从设计到实现的全部过程,培养了对eda设计的兴趣,对本科阶段实操方面的能力很有帮助。
交通灯控制逻辑电路设计实验报告
数字设计课程实验报告实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号:培养类型:年级:专业:所属学院:指导教员:职称:实验室:实验日期:交通灯控制逻辑电路的设计与仿真实现一、实验目的:1. 熟悉Multisim仿真软件的主要功能和使用;2. 熟悉各种常用的MSI时序逻辑电路的功能和使用;3. 运用逻辑设计知识,学会设计简单实用的数字系统;二、实验任务及要求:1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路;每个干道各一组指示灯红、绿、黄;要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着;2.要求:1分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图;2设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图;在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确;3设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图;在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确;4用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图;在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确;5在Multisim仿真平台上形成整个系统完整的电路,统调测试结果;三、设计思路与基本原理:依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示;其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行;在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试;接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试;最后完成定时电路的设计与调试;整合电路,形成整个系统完整的电路,统调测试结果;图错误!未定义书签。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时控制器发出状态转换信号ST转到下一工作状态。
(4)甲车道红灯亮乙车道黄灯亮。
表示甲车道禁止同行,乙车道上未位过停车线的车辆停止通行,已过停车线的车辆继续通行。
黄灯亮足规定的时间间隔TY时控制器发出状态转换信号ST系统又转换到第1种工作状态。
交通灯以上4种工作状态的转换是由控制器进行控制的。
设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表1所示控制器应送出甲、乙车道红、黄、绿灯的控制信号。
为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定控制状态和信号灯状态下,车道的运行状态:S000甲绿、乙红甲车道通行、乙车道禁止通行S101甲黄、乙红甲车道缓行、乙车道禁止通行S311甲红、乙绿甲车道禁止通行、乙车道通行S210甲红、乙黄甲车道禁止通行、乙车道缓行AG=1 甲车道绿灯亮,甲车道通行BG=1 乙车道绿灯亮,乙车道通行AY=1 甲车道黄灯亮,甲车道缓行BY=1 乙车道黄灯亮,乙车道缓行AR=1 甲车道红灯亮,甲车道禁止通行BR=1 乙车道红灯亮,乙车道禁止通行状态变化如下表所示:控制器应送出甲、乙车道红、黄、绿灯的控制信号。
为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:AG=1:甲车道绿灯亮;BG=1:乙车道绿灯亮;AY=1:甲车道黄灯亮;BY=1:乙车道黄灯亮;AR=1:甲车道红灯亮;BR=1:乙车道红灯亮;由此得出交通信号灯的AMS 图,如图2所示:图2 交通信号灯的AMS 图三、单元电路的设计1、秒脉冲信号发生器本实验采用555定时器组成秒脉冲信号发生器。
取10uf C =,R 1取68ΩK ,R 2取15ΩK ,则电路的输出脉冲频率z 1)2(44.121H CR R f ≈+=,电路图如图所示:图3 秒脉冲信号发生器2、定时器定时器由与系统的时钟脉冲产生器提供的同步计数器构成,要求计数器在状态信号ST作用下首先清零,然后在时钟脉冲上升沿作用下,计数器从零开始进行增1计数,向控制器提供5s的定时信号Ty和25s的定时信号T L。
计数器选用集成电路74LS163进行设计较简便,74LS163是10进制同步加法计数器它具有异步清零、同步置数的功能。
74LS163管脚图如图4所示74LS163图4 74LS163管脚图交通灯定时电路:图5 交通灯定时电路其工作原理为由秒脉冲发生器产生的秒脉冲CLK分别送给两个74LS163的清零端9处。
如图所示,输入端3.4.5.6分别接地。
U1的7和10由U2的11、14经过与门相与后相连。
即只有当11、14处产生一个高电平脉冲时才能触发U1中的14产生脉冲。
当HD74LS00的ST信号分别送给U1和U2的LOAD。
就可以得到y T和T是秒脉冲的5倍L T和L T的结果是秒脉冲的25倍y3、控制器控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。
列出控制器的状态转换表如表3所示。
选用两个D触发器HD74LS74做为时序寄存器产生 4种状态,控制器状态转换的条件为TL和TY,当控制器处于Q1n+1Q0n+1=00状态时,如果TL=0,则控制器保持在00状态,如果则控制器转换到Q1n+1Q0n+1=01状态。
这两种情况与条件TY无关,所以用无关项"X"表示。
其余情况依次类推,就可以列出了状态转换信号ST。
其输入输出状态变化如下表所示:表2 控制器状态变化编码表根据上表可以推出状态方程和转换信号方程,其方法是将Q1n+1、Q0n+1和 S T为1的项所对应的输人或状态转换条件变量相与其中"1"用原变量,表示"0"用反变量表示,然后将各与项相或,即可得到下面的方程。
根据以上方程,选用数据选择器74LS153来实现每个D触发器的输入函数。
将触发器的现态值加到74LS153的数据选择输入端作为控制信号,即可实现控制器的功能,控制原理图如图6:图6 交通灯控制器原理图图中R、C构成上电复位电路。
由两个双多路转换器74LS153和一个双D 触发器HD74LS74组成控制器。
触发器记录4种状态,多路转换器与触发器配合实现4种状态的相互交换。
4.译码器译码器的主要任务是将控制器的输出 Q1、Q0的4种工作状态,翻译成甲、乙车道上6个信号灯的工作状态。
控制器的状态编码与信号灯控制信号之间的关系如表3所示。
表3 控制器状态编码与信号灯关系表Q1Q状态AG AY AR BG BY BR根据上表中信号灯与Q 0Q 1的状态编码,可以到输出方程:01Q Q AG ⋅=,01Q Q AY ⋅=,1Q AR = 01Q Q BG ⋅=,01Q Q BY ⋅=,1Q BR =由于黄灯需要5s 闪烁,需要接入脉冲信号:cp Q Q AY ⋅⋅=01,cp Q Q BY ⋅⋅=01。
给定的器件中没有与门,故将其全部取反得:01Q Q AG ⋅=,cp Q Q AY ⋅⋅=01,1Q AR =01Q Q BG ⋅=,cp Q Q BY ⋅⋅=01,1Q BR =得到的信号灯状态均为低电平有效,所以全部反接。
如下图所示:图7 交通灯译码电路四、电路测试数据和结果1、555定时器输出的时钟脉冲信号图8 555定时器输出的时钟脉冲信号 2、74LS74各输出管脚的波形图9 74LS74各输出管脚的波形3、各路信号灯电平变化情况图10 A、B两路红、黄、绿信号灯的电平变化情况五、结束语1.系统综述通过分析交通灯控制系统的要求可知,整个系统主要由秒脉冲信号发生器、定时器、控制器、译码显示器构成。
其中,秒脉冲信号发生器由555定时器构成;定时器由两片4位可预置二进制计数器74LS163芯片、三片D触发器及若干与非门共同组成;控制器由三片数据选择器74LS153及两片双D触发器74LS74构成。
主控制器和定时计数器必须使用同一脉冲信号,译码电路输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作。
控制电路是系统的主要部分,由它控制定时计数电路和译码驱动电路的工作能够实现交通灯四种状态的自动转换。
2.总结及心得体会这次课程设计历时一个星期,通过这一个星期的课程设计,我们团队成员都发现了自己的很多不足,找出了在模拟电路和数字电路知识上的很多漏洞,也看到了自己的在动手实践方面还缺乏经验,理论联系实际的能力还急需提高。
在课程设计过程中,光有理论知识是不够的,还必须懂一些实践中的知识。
这次的课程设计也让我看到了团队的力量,我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。
刚开始的时候,我们就分配好了各自的任务,分别是555秒脉冲信号发生器及定时器电路的设计、控制电路的设计、译码电路的设计;然后我们积极查询相关资料,并且经常聚在一起讨论各个方案的可行性;最后我们设计电路图,焊接电路板,检修线路,调试电路。
在课程设计中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败,团结协作是我们成功的一项非常重要的保证,而这次设计也正好锻炼我们这一点,这也是非常宝贵的。
本次课程设计也巩固和加深了我对电子线路基本知识和理解,提高了综合运用所学知识的能力,增强了根据课程需要选学参考资料,查阅手册、图表和文献资料的自学能力。
然后深入研究,提出方案,对比后得出最终的可行方案。
同时我也初步学习到了关于课程设计的基本方法、步骤和撰写设计论文的格式。
为完成这次课程设计,我们大家确实很辛苦,几乎所有课余时间都花在了这件事情上,但苦中仍有乐,和团队人员这一周多的一起工作的日子,我们相互帮助、默契配合,知识上收获的良多,精神上的丰收更加可喜,让我们知道了学无止境的道理,我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。
挫折是一份财富,经历是一份拥有,这次课程设计必将成为我们人生旅途上一段非常美好的回忆!六、参考文献[1] 陈大钦,罗杰.电子技术基础实验(第三版)[M]——电子电路实验、设计及现代EDA技术.北京:高等教育出版社,2008.6[2] 杨素行.模拟电子技术基础简明教程(第三版)[M].北京:高等教育出版社,2006.5[3] 余孟尝.数字电子技术基础简明教程(第三版)[M].北京:高等教育出版社,2006.7[4] 陈宗梅.交通灯控制系统电路设计[J].重庆职业技术学院学报,2005,14(2):124-125[5] 刘明丹.基于数字电路对交通灯控制的研究与设计[J].实验技术与管理,2005(22):30-33[6] 韦英华.基于Multisim的交通灯控制电路系统仿真设计[J].机械与电子,2009(33):106-107七、电路原理图。