多功能数字钟课程设计报告

合集下载

多功能电子时钟数字系统课程设计设计实验报告

多功能电子时钟数字系统课程设计设计实验报告

多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。

(开机后,按下按键1一次,会继续显示时间。

)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。

而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。

二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。

我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。

在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告目录1.实验目的 (2)2.实验题目描述和要求 (2)3.设计报告内容 (2)3.1实验名称 (2)3.2实验目的 (2)3.3实验器材及主要器件 (2)3.4数字钟基本原理和电路设计 (3)3.5数字电子钟单元电路设计、参数计算和器件选择..............................3-8 3.6数字电子钟电路图 (9)3.7数字电子钟的组装与调试 (9)4.实验结论 (9)5.实验心得 (10)参考文献 (10)1.实验目的※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力;※培养书写综合实验报告的能力。

2. 实验题目描述和要求1、基本要求(1)能进行正常的时,分,秒计时的功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数显示。

(2)、具有清零功能。

(3)、具有开、关功能。

2、发挥部分(1)能实现“校时”“校分”功能:当按下“SA”“校时”键时,计时器迅速递增,并按24小时循环,计满23小时后返回00;按下“SB”“校分”键时,计分器迅速递增,并按60分钟循环,计满59分钟后返回00;但不向“时”进位。

(2)能利用扬声器做整点报时:整点自动报时。

(参考:在离整点10s内,便自动发出鸣叫声,步长1s,每隔1s鸣叫一次,前四响是低音,最后一响为高音,最后一响结束为整点,报时频率可定为1KHz。

)能进行整点报时:当计时到达59分50秒后,每隔2秒钟发出一次低音“嘟”信号(其声音频率为500HZ),连续5次到达整点,发出一次高音“嘀”信号(其声音频率为1000HZ)3、对电路进行逻辑功能仿真。

3.设计报告内容3.1实验名称: 数字电子钟3.2实验目的·掌握数字电子钟的设计、组装与调试方法;·熟悉集成电路的使用方法。

电子技术课程设计报告---多功能数字时钟

电子技术课程设计报告---多功能数字时钟

电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。

2.可以24小时制或12小时制。

3.具有校时功能。

可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。

校时时钟源可以手动输入或借用电路中的时钟。

4.整点能自动报时,要求报时声响四低一高,最后一响为整点。

5.走时精度高于普通机械时钟〔误差不超过1s/d〕。

二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。

秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。

“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。

其原理框图如图1所示。

2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。

数字钟的精度,主要取决于时间标准信号的频率及稳定度。

振荡器的频率越高,计时的精度就越高,但耗电量将增大。

一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。

〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。

分频器的级数和每级的分频次数要根据时基频率来定。

例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。

也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。

〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。

“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。

“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。

多功能数字钟数电课程设计实验报告

多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。

二、系统设计方案 ........................................................................................ 错误!未定义书签。

三、器件选择 ................................................................................................ 错误!未定义书签。

1、74LS160............................................................................................... 错误!未定义书签。

2、74LS107............................................................................................... 错误!未定义书签。

3、74LS90................................................................................................. 错误!未定义书签。

显示屏....................................................................................................... 错误!未定义书签。

多功能数字时钟设计报告

多功能数字时钟设计报告

题目:多功能数字钟设计学校:广东石油化工学院学院:机电工程学院班级:装控09--2姓名:黄亚冲学号:16一、设计任务多功能数字钟电路设计二、设计条件74LS00 4片,74LS48 6片,74LS74 1片,74LS90 5片,74LS92 2片,74LS191 1片,555 1个,数码显示器202 6片,电阻器 6个,电容器 4个,开关 2个三、设计要求①准确计时,以数字形式显示时、分、秒的时间。

②小时的计时要求为“12翻1”,分和秒的计时要求为60进制进位。

③校正时间。

4、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。

四、设计内容1.设计思想:数字钟主要分为数码显示器、60进制和12进制计数器、频率振荡器和校时这几个部分。

该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

计数器的输出经译码器送显示器。

计时出现误差时可以用校时电路进行校时、校分、校秒。

图1、多功能数字钟系统组成框图2.电路结构与原理图(1)振荡器振荡器可由晶振组成,也可以由555定时器组成。

图3是由555定时器构成的1KHZ的自激振荡器,其原理是0.7(2R3+R4+R5)C4=1ms,f=1/t=1KHZ。

计时是1HZ的脉冲才是1S计一次数,所以需要分频才能得到1HZ的脉冲。

图2、晶体振荡器图3、555振荡器(2)分频器的设计分频器电路,是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的信号,从而达到目的。

电路图见图4图4、分频器(3)小时计数器的设计——12进制计数器时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒,秒的个位计数器再输入一个秒脉冲是,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。

实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。

2. 按键输入:使用按键进行时间的调节和选择功能。

3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。

实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。

2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。

3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。

4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。

5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。

实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。

使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。

实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。

实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。

通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。

(数电)多功能数字钟—设计报告

(数电)多功能数字钟—设计报告

1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。

②扩展功能:整点报时。

2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。

555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。

在功能方面,对于本次综合设计,还要求有校时与整点报时功能。

方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。

校时电路时用来对“时”、“分”显示数字进行校对调整。

3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。

脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。

单片机多功能电子数字钟课程设计报告

单片机多功能电子数字钟课程设计报告

多功能电子数字钟设计数字钟在日常生活中最常见, 应用也最广泛。

本文主要就是设计一款数字钟, 以89C52单片机为核心, 配备液晶显示模块、时钟芯片、等功能模块。

数字钟采用24小时制方式显示时间, 定时信息以及年月日显示等功能。

文章的核心主要从硬件设计和软件编程两个大的方面。

硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。

软件用C语言来实现, 主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。

关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1.MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。

这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。

8051单片机的结构特点有以下几点: 8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源, 2个中断优先级;全双工串行口。

定时器/计数器8051内部有两个16位可编程定时器/计数器, 记为T0和T1。

16位是指他们都是由16个触发器构成, 故最大计数模值为2 -1。

可编程是指他们的工作方式由指令来设定, 或者当计数器来用, 或者当定时起来用, 并且计数(定时)的范围也可以由指令来设置。

这种控制功能是通过定时器方式控制寄存器TMOD来完成的。

在定时工作时, 时钟由单片机内部提供, 即系统时钟经过12分频后作为定时器的时钟。

技术工作时, 时钟脉冲由TO和T1输入。

中断系统8051的中断系统允许接受五个独立的中断源, 即两个外部中断申请, 两个定时器/计数器中断以及一个串行口中断。

外部中断申请通过INTO和INT1(即P3.2和P3.3)输入, 输入方式可以使电平触发(低电平有效), 也可以使边沿触发(下降沿有效)。

2.8051的芯片引脚如图1-2所示VCC: 供电电压。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

多功能数字钟—数电课程设计报告

多功能数字钟—数电课程设计报告

1.设计任务与要求1.1产生1HZ的脉冲;1.2能显示时,分,秒,24小时进制;1.3可手动校正:能分别进行分、时的校正。

只要将开关置于手动位置。

可分别对分、时进行连续脉冲输入调整;1.4整点报时。

2.系统原理框图由振荡器输出稳定的高频脉冲信号作为时间基准,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“24翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒,可发挥部分:使数字钟具有可整点报时与定时闹钟的功能。

数字钟的结构框图如图1所示图1数字钟的结构框图3.设计方案与论证3.1时间脉冲产生电路方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

555与RC振荡电路如图2所示图1 555与RC组成的多谐振荡器图方案二:振荡器是数字钟的核心。

振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。

石英晶体振荡器的作用是产生时间标准信号。

因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。

石英晶体振荡电路如图3所示图 2 石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器门电路组成的振荡电路如图4所示图 3 门电路组成的多谐振荡器图用555组成的脉冲产生电路: R1=47kΩ,R2=47kΩ,C=10μF,则555所产生的脉冲的为:f=1/[(R1+2*R2)CLn2=1Hz,而设计要求为1Hz,在精度要求不是很高的时候可以使用。

石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。

由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。

多功能数字钟课程设计报告

多功能数字钟课程设计报告

电子技术课程设计报告书课题名称姓名学号院、系、部专业指导教师2016年6月12日一、设计任务及要求:用中小规模集成芯片设计并制作多功能数字钟;具体要求如下:1、准确及时;以数字形式显示时00~23、分00~59、秒00~59的时间..2、具有校时功能..指导教师签名:2016年6月日二、指导教师评语:指导教师签名:2016年6月日三、成绩指导教师签名:2016年6月日多功能数字钟课程设计报告1 设计目的一、设计原理与技术方法:包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明..1、电路工作原理分析与原理图数字钟实际上是一个对标准频率1Hz进行计数的计数电路..由于标准的1Hz时间信号必须做到准确稳定;所以通常使用输出频率稳定的石英晶体振荡器电路构成数字钟的振源..又由于计数的起始时间不可能与标准时间如北京时间一致;故需要在电路上加一个校时电路..因此一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成..石英晶体振荡器产生的信号经过分频器得到秒脉冲后;秒脉冲送入计数器计数;计数结果通过“时”、“分”、“秒”译码器译码;并通过显示器显示时间..由以上分析可得到原理框图如下图图1 实验原理框图2、元器件选择与参数计算1晶体振荡电路:产生秒脉冲既可以采用555脉冲发生电路也可以采用晶振脉冲发生电路..若由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源;可使555与RC组成多谐振荡器;产生频率 f=1kHz的方波信号;再通过分频则可得到秒脉冲信号..晶体振荡器电路则可以给数字钟提供一个频率稳定准确的32768Hz的方波信号;可保证数字钟的走时准确及稳定..相比二者的稳定性;晶振电路比555电路能够产生更加稳定的脉冲;数字电路中的时钟是由振荡器产生的;振荡器是数字钟的核心..振荡器的稳定度及频率的精度决定了数字钟计时的准确程度;所以最后决定采用晶振脉冲发生电路..石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整;它是电子钟的核心;用它产生标准频率信号;再由分频器分成秒时间脉冲..所以秒脉冲晶体振荡选用32768Hz的晶振;该元件专为数字钟电路而设计;其频率较低;有利于减少分频器级数..从有关手册中;可查得C1、C2均为20pF..当要求频率准确度和稳定度更高时;还可接入校正电容并采取温度补偿措施..由于CMOS电路的输入阻抗极高;因此反馈电阻R1可选为20M Ω..2分频器电路:分频器电路将32768Hz的高频方波信号经32768152次分频后得到1Hz的方波信号供秒计数器进行计数..分频器实际上也就是计数器..该电路可通过CD4060与双D触发器74LS74共同实现..3时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成;其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器;而根据设计要求;时个位和时十位计数器为24进制计数器..计数器可以使用十进制的74LS160..4译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态;并且为保证数码管正常工作提供足够的工作电流..译码器可以使用CD4511..5校时电路:可以通过基本的门器件、电阻与开关实现..由设计的电路图可选择与非门74LS00..6整点报时电路:一般时钟都应具备整点报时电路功能;即在时间出现整点前数秒内;数字钟会自动报时;以示提醒.其作用方式是发出连续的或有节奏的音频声波..3、电路调试方法与结果说明1电路调试方法①数码管的调试:可以用万用表的负极接数码管的3或8脚;正极依次接数码管剩余的管脚所接电阻的另一端;并将万用表调至测发光二极管档位;从而测试数码管的显示是否正确..②“时”“分”“秒”电路的调试:将“时”“分”“秒”电路连接完成后;可以用函数信号发生器产生的1Hz方波信号分别作为“时”、“分”、“秒”的个位74LS160的计数脉冲;从而测试“时”是否为24进制;“分”和“秒”是否为60进制..③校时电路的调试:先将电路外接用函数信号发生器产生的2Hz方波信号;再分别通过校时、校分电路开关的断开、闭合以及开关闭合后电路的工作情况判断电路的校时、校分功能是否正确..④秒脉冲产生电路的调试:将电路产生的秒时间脉冲接入示波器;观察并计算电路是否产生1Hz方波信号.. 2结果说明①数码管的调试:当正极依次接1、2、4、5、7、9、10管脚时;数码管依次是G、F、A、B、C、D、E亮..②“时”“分”“秒”电路的调试:“时”为24进制从“00”到“23”;“分”和“秒”都为60进制从“00”到“59”..③校时电路的调试:开关断开时电路处于正常工作状态;开关闭合时电路处于校时、校分状态..④秒脉冲产生电路的调试:电路产生1Hz方波信号..4、软件设计说明书与流程图1秒脉冲产生电路晶体振荡器是构成数字式时钟的核心;它保证了时钟的走时准确及稳定..由于晶体具有较高的频率稳定性及准确性;从而保证了输出频率的稳定和准确..晶体XTAL的频率选为32768HZ..该元件专为数字钟电路而设计;其频率较低;有利于减少分频器级数..从有关手册中;可查得C1、C2均为20pF..当要求频率准确度和稳定度更高时;还可接入校正电容并采取温度补偿措施..由于CMOS电路的输入阻抗极高;因此反馈电阻R1可选为22M Ω..较高的反馈电阻有利于提高振荡频率的稳定性..通常;数字钟的晶体振荡器输出频率较高;为了得到1Hz的秒信号输入;需要对振荡器的输出信号进行分频..通常实现分频器的电路是计数器电路;一般采用多级2进制计数器来实现..本实验中采用CD4060来构成分频电路..管脚图见图2..CD4060在数字集成电路中可实现的分频次数最高;而且CD4060还包含振荡电路所需的非门;使用更为方便..CD4060计数为14级2进制计数器;可以将32768Hz的信号分频为2Hz;再经过74LS74即可获得1Hz的方波信号..原理电路图如图3所示;图4为仿真电路图..图2 D4060管脚图图3 CD4060秒脉冲振荡发生器图 4 产生1Hz时间脉冲的电路图2时间计数器电路①“秒”“分”电路根据题目要求;“秒”和“分”都是60进制的;而且是从“00”到“59”;可以使用十进制的74LS160来实现这个功能..首先将两片74LS160通过串行进位方式接成百进制计数器;即分别将“秒”和“分”个位的进位输出信号经非门作为“秒”和“分”十位的计数输入脉冲..当计数器从全0状态开始计数;计入59个脉冲时;经与非门译码产生低电平信号立刻将两片74LS160同时置零;于是便得到了60进制的计数器..74160的逻辑功能示意图、引脚图及功能表如下所示..图5 a 74160逻辑功能示意图 b 74160引脚图图6 74160逻辑功能表②“时”电路根据题目要求;“时”是24进制的;而且是从“00”到“23”;可以使用十进制的74LS160来实现这个功能..首先将两片74LS160通过串行进位方式接成百进制计数器;当计数器从全0状态开始计数;计入23个脉冲时;经与非门译码产生低电平信号立刻将两片74LS160同时置零;于是便得到了24进制的计数器.. 3译码驱动电路计数器实现了对时间的累计以8421BCD码形式输出;选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流;选用CD4511作为显示译码电路;选用LED数码管作为显示单元电路..由于CD4511是输出高电平有效;所以选用七段共阴极LED数码管..若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端;便可进行不同数字的显示..“秒”用数码管显示如图7所示..图7 “秒”的译码及驱动显示电路图4校时电路数字种启动后;每当数字钟显示与实际时间不符合;需要根据标准时间进行校时..通常;校正时间的方法是:首先截断正常的计数通路;然后再进行人工触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端;校正好后;再转入正常计时状态即可..校“秒”时;采用等待校时..校“分”、“时”的原理比较简单;采用加速校时..对校时电路的要求是 :1.在小时校正时不影响分和秒的正常计数 .. 2.在分校正时不影响秒和小时的正常计数 ..当开关断开时;因为校正信号和0相与的输出为0;而开关的另一端接高电平;正常输入信号可以顺利通过与或门;故校时电路处于正常计时状态;当开关闭合时;情况正好与上述相反;这时校时电路处于校时状态..与非门可选74LS00;非门则可用与非门2个输入端并接来代替从而节省芯片..校时电路图见图8..8 校时电路图5整点报时电路一般时钟都应具备整点报时电路功能;即在时间出现整点前数秒内;数字钟会自动报时;以示提醒..其作用方式是发出连续的或有节奏的音频声波..当时间在59分50秒到59分59秒期间时;分十位、分个位和秒十位均保持不变;分别为5、9和5;因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与..电路在整点前6秒钟内开始整点报时;即当时间在59分54秒到59分59秒期间时;报时电路产生报时控制信号;控制小喇叭产生低音;当时间为00分00秒时;报时电路产生报时控制信号;控制小喇叭产生高音..5、软件调试方法与运行结果说明1软件调试方法由于仿真时晶振不能正常工作;所以通过外接1KHz方波信号来调试电路..“时”“分”“秒”电路的调试:“时”为24进制从“00”到“23”;“分”和“秒”都为60进制从“00”到“59”..校时电路的调试:可以通过校时、校分电路的开关来校对时间;并判断电路的“时”“分”“秒”的进制是否正确..开关断开时电路处于正常工作状态;开关闭合时电路处于校时、校分状态..2运行结果说明数码管的各部分可以正确显示;电路的“时”为24进制从“00”到“23”;“分”和“秒”都为60进制从“00”到“59”..开关断开时电路处于正常工作状态;开关闭合时电路处于校时、校分状态;通过控制开关及输入信号可以达到校时功能..三、设计体会与建议1.设计体会我觉得此次的数字钟设计实验;电路原理相对来比较简单;但电路图比较复杂;所用芯片比较多;相应的连线也多;这就给焊接电路增加了较大的难度..不过通过此次实验;使我更进一步地熟悉了芯片的结构;掌握了实验中所用各芯片的工作原理和其具体的使用方法;同时还接触到了一些新认识的芯片;增长了见识..这次课程设计是一次难得的锻炼机会;让我们能够充分运用所学过的理论知识和自己动手实际操作的能力;另外还让我们学习查找资料的方法;以及自己设计电路、焊接电路、分析解决电路存在的问题的能力..这对于我来说是很好的提高;填补了平日理论学习后实践方面的空白..参考文献1 阎石. 数字电子技术基础M. 北京:高等教育出版社;2001年2 杨素行. 模拟电子技术基础简明教程M. 北京:高等教育出版社;2005年3康华光. 电子技术基础M. 北京:高等教育出版社;1999年4彭华林等编. 数字电子技术M. 长沙:湖南大学出版社;2004年5金唯香等编. 电子测试技术M. 长沙:湖南大学出版社;2004年。

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

多功能数字钟课程设计报告

多功能数字钟课程设计报告

电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。

☆学习数字系统设计中自顶向下的设计方法。

☆加深利用EDA技术实现数字系统的理解。

三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。

☆基本要求1、能够正确的连线及下载。

2、能够完成以秒为最小及时单位的时钟设计。

3、设计完成后的时钟能够正常调整时、分、秒。

三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现一天24h的累计。

译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。

整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。

校时电路是来对“时、分、秒”显示数字进行校对调整。

其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。

实现这两种模数的计数器采用中规模集成计数器74LS90构成。

●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。

多功能数字钟—数电课程设计报告

多功能数字钟—数电课程设计报告

《多功能数字钟电路设计与制作》课程设计报告班级:建筑设施智能技术二班姓名:*****学号:********指导教师:*****2010年11月19日目录一、内容摘要 (3)二、设计内容及要求 (3)三、总设计原理 (3)四、单元电路的设计 (6)1、基于NE555的秒方波发生器的设计 (5)2、基于74LS160的12\60进制计数器的设计 (7)3、校时电路的设计 (9)五、设计总电路图 (10)六、主要仪器及其使用方法 (10)七、设计过程中的问题及解决方案 (10)八、心得体会 (12)九、附录 (13)多功能数字钟的电路设计与制作一、内容摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

它可以实现数字电子时钟功能、仿电台整点报时功能、定时功能这三项基本功能。

二、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。

②扩展功能:定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。

三、总设计原理:(1)数字电子计时器组成原理图1数字电子计时器的结构框图(2)用74LS160实现12进制计数器(3)校时电路当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。

校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。

为使电路简单,这里只进行分和小时的校准。

校时可采用快校时和慢校时两种方式。

校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。

图3中C 1、 C 2用于消除抖动。

图3 校时电路CLK图2 用整体置零法构成的12进制计数器进位1校4、时基电路图4 由555定时器构成的多谐振荡器5、定时控制电路数字钟在指定的时刻发出信号,或驱动音响电路“闹时”,或对某装置进行控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

本科毕业设计论文--eda课程设计报告多功能数字钟设计

本科毕业设计论文--eda课程设计报告多功能数字钟设计

湖北大学物电学院EDA课程设计报告(论文)题目:多功能数字钟设计专业班级: 14微电子科学与工程*名:**时间:2016年12月20日指导教师:万美琳卢仕完成日期:2015年12月20日多功能数字钟设计任务书1.设计目的与要求了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解2.设计内容1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开;2,能用按键调时调分;3,能整点报时,到达整点时,蜂鸣器响一秒;4,拓展功能:秒表,闹钟,闹钟可调3.编写设计报告写出设计的全过程,附上有关资料和图纸,有心得体会。

4.答辩在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中)(空一行)1 引言 (1)2 总体设计方案 (1)2.1 设计思路 (1)2.2总体设计框图 (2)3设计原理分析 (3)3.1分频器 (4)3.2计时器和时间调节 (4)3.3秒表模块 (5)3.4状态机模块 (6)3.5数码管显示模块 (7)3.6顶层模块 (8)3.7管脚绑定和顶层原理图 (9)4 总结与体会 (11)多功能电子表摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能关键词:Verilog语言,多功能数字钟,数码管显示;1 引言QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。

利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然2 总体设计方案2.1 设计思路根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。

多功能数字钟设计报告

多功能数字钟设计报告

目录一、设计内容简介 (4)1.设计目的 (4)2.设计要求 (4)3.设计方案 (5)二、设计原理 (4)1.基本工作原理 (5)2.分部电路原理 (4)三、电路设计及其电路图 (5)1.秒信号发生器 (7)2.计时电路 (7)3.清零电路 (7)4.校分电路 (7)5.报时电路 (10)6.总电路图 (7)五、调试中遇到的问题及解决方法 (11)六、改进和提高 (11)七、体会与总结 (11)七、参考文献 (12)八、附录 (12)1.电路总图 (6)2.元件清单 (6)3.芯片引脚图 (6)一、设计内容简介1.设计目的我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.。

从而对理论基础知识有更深一步的理解和掌握。

2.设计要求(1)设计一个脉冲发生电路,为计时和报时提供1HZ,1KHZ,2KHZ脉冲信号(2)计一个计时电路,完成0分00秒~9分59秒的计时功能。

(3)设计清零电路,开机可以自动清零,可以手动实现清零功能。

(4)设计校分电路,按下开关,可进行校分。

(5)设计报时电路,从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz)(6)系统级联调试,将以上电路进行级联完成计时器的所有功能。

(7)排线尽量美观,贴近板面走线,布线布局应规范整齐美观3.设计方案数字钟的设计框图下图所示,数字钟由基本频率源(振荡器)、分频器、记数器、译码显示驱动器、数字显示器、校准电路、清零电路、报时电路八部分组成。

二、设计原理1.基本工作原理本数字钟是一个将“分十位”“秒十位”“秒个位”显示于人的视觉器官的计时装置。

EDA课程设计多功能数字时钟报告

EDA课程设计多功能数字时钟报告
II.功能简介…………………………………………………………………………………4
III.开关功能介绍(两种方案)……………………………………………………………4
二.方案论证……………………………………………………5
I.总体方案分析…………………………………………………………………………5
II.电路的工作原理……………………………………………………………………6
二.方案论证:
I。总体方案分析:
利用QuartusII软件设计一个数字钟,对设计电路进行功能仿真,并下载到SmartSOPC实验系统中,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能.本电路在原有基础上进行了拓展,具备以下功能:
①.能进行正常的时、分、秒计时功能;
These electric circuits are all packed with mold piece okay, for the purpose of other electric circuits adjust to use.With count machine composing to account parts, pass a cent repeatedly the machine divides of when the 1 HZ pulse accounts adjust to show that the electric circuit suggests and passes a little bit whole buzzer that tell the time an electric circuit control with the dynamic state.BE counting the way that the machine class adopted synchronously external different step in the inner part while uniting, but came to a synchronous effect through a simple change and than synchronously returned credibility。While showing a control for economizing a resources adoption dynamic state principle,from wove VHDL language of 24 choose 4 data selectors.文档为个人收集整理,来源于网络文档为个人收集整理,来源于网络

数字钟课程设计报告

数字钟课程设计报告

多功能数字钟的课程设计一、设计题目:多功能数字钟的电路设计二、设计要求:1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

2.具有快速校准时、分、秒的功能。

3.计时准确度,每天计时误差不超过1s。

4.整点自动报时,在离整点10s时,便自动发出鸣叫声,直至整点时结束。

三、题目分析:分析设计要求,要实现时钟显示功能,而且分、秒以60进制,时以24进制进行数据运算则必须用计数器加以实现;要达到快速校时的目的可以用手动按动按钮产生校时脉冲的形式来完成;对于整点报时则可以用门电路的形式来实现。

四、总体方案:图1总设计结构图由上图的总体结构图可知,该设计大概可以分五个部分:秒脉冲产生部分、计数部分、显示部分、校时部分、报时部分。

在秒脉冲产生部分中,可以用振荡器或者555定时器予以实现,为了保证的准确性,优先选用振荡器,但是由于个人技术问题,我们选用了555 定时器来产生秒脉冲;在计数电路中,我们采用74LS90这种二-五十进制计数器,因为它可以同时可以级连组成60进制和24进制,用起来比较方便;用74LS290、CC4511译码器和七段显示数码管便组成多功能数字钟的显示部分;在校时部分中,我们选用的是手动校时的方式,通过按钮产生脉冲来控制74LS90的计数,从而达到计数的目的;在最后的报时部分中,我们运用了74LS151来予以选择再配合74LS00使用,实现了整点报时的功能。

通过以上几个部分的共同作用,最终达到该项设计的所有要求,设计出一个多功能数字钟。

五、具体实现:(1)秒脉冲产生部分R1+V8 4CCR275556TH3u oC +–u C2 TR15C1图2秒脉冲产生电路图振荡器是数字钟的核心部分。

振荡器的稳定性及频率的精确度决定了数字钟计时的准确程度,一般来说555产生出来的秒脉冲不太稳定,但是由于某种原因,本实验采用555定时器。

其中要求R1为68K的电阻R2为15K的电阻C为10 F.C1为20PF的电容,V cc为+5V电源,U c为电容C的电压。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子技术课程设计报告书课题名称姓名学号院、系、部专业指导教师2016年6月12日一、设计任务及要求:用中小规模集成芯片设计并制作多功能数字钟,具体要求如下:1、准确及时,以数字形式显示时(00~23)、分(00~59)、秒(00~59)的时间。

2、具有校时功能。

指导教师签名:2016年6月日二、指导教师评语:指导教师签名:2016年6月日三、成绩指导教师签名:2016年6月日多功能数字钟课程设计报告1 设计目的一、设计原理与技术方法:包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。

1、电路工作原理分析与原理图数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。

由于标准的1Hz 时间信号必须做到准确稳定,所以通常使用输出频率稳定的石英晶体振荡器电路构成数字钟的振源。

又由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。

因此一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。

石英晶体振荡器产生的信号经过分频器得到秒脉冲后,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。

由以上分析可得到原理框图如下图图1实验原理框图2、元器件选择与参数计算(1)晶体振荡电路:产生秒脉冲既可以采用555脉冲发生电路也可以采用晶振脉冲发生电路。

若由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,可使555与RC组成多谐振荡器,产生频率f=1kHz的方波信号,再通过分频则可得到秒脉冲信号。

晶体振荡器电路则可以给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。

振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,所以最后决定采用晶振脉冲发生电路。

石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。

所以秒脉冲晶体振荡选用32768Hz的晶振,该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。

从有关手册中,可查得C1、C2均为20pF。

当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。

由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为20MΩ。

(2)分频器电路:分频器电路将32768Hz的高频方波信号经32768(152)次分频后得到1Hz的方波信号供秒计数器进行计数。

分频器实际上也就是计数器。

该电路可通过CD4060与双D触发器74LS74共同实现。

(3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。

计数器可以使用十进制的74LS160。

(4)译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。

译码器可以使用CD4511。

(5)校时电路:可以通过基本的门器件、电阻与开关实现。

由设计的电路图可选择与非门74LS00。

(6)整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波。

3、电路调试方法与结果说明(1)电路调试方法①数码管的调试:可以用万用表的负极接数码管的3或8脚,正极依次接数码管剩余的管脚所接电阻的另一端,并将万用表调至测发光二极管档位,从而测试数码管的显示是否正确。

②“时”“分”“秒”电路的调试:将“时”“分”“秒”电路连接完成后,可以用函数信号发生器产生的1Hz方波信号分别作为“时”、“分”、“秒”的个位74LS160的计数脉冲,从而测试“时”是否为24进制,“分”和“秒”是否为60进制。

③校时电路的调试:先将电路外接用函数信号发生器产生的2Hz方波信号,再分别通过校时、校分电路开关的断开、闭合以及开关闭合后电路的工作情况判断电路的校时、校分功能是否正确。

④秒脉冲产生电路的调试:将电路产生的秒时间脉冲接入示波器,观察并计算电路是否产生1Hz方波信号。

(2)结果说明①数码管的调试:当正极依次接1、2、4、5、7、9、10管脚时,数码管依次是G、F、A、B、C、D、E亮。

②“时”“分”“秒”电路的调试:“时”为24进制(从“00”到“23”),“分”和“秒”都为60进制(从“00”到“59”)。

③校时电路的调试:开关断开时电路处于正常工作状态,开关闭合时电路处于校时、校分状态。

④秒脉冲产生电路的调试:电路产生1Hz方波信号。

4、软件设计说明书与流程图(1)秒脉冲产生电路晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。

由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

晶体XTAL的频率选为32768HZ。

该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。

从有关手册中,可查得C1、C2均为20pF。

当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。

由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为22MΩ。

较高的反馈电阻有利于提高振荡频率的稳定性。

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。

本实验中采用CD4060来构成分频电路。

管脚图见图2。

CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。

CD4060计数为14级2进制计数器,可以将32768Hz的信号分频为2Hz,再经过74LS74即可获得1Hz的方波信号。

原理电路图如图3所示,图4为仿真电路图。

图2D4060管脚图图3CD4060秒脉冲振荡发生器图4产生1Hz时间脉冲的电路图(2)时间计数器电路①“秒”“分”电路根据题目要求,“秒”和“分”都是60进制的,而且是从“00”到“59”,可以使用十进制的74LS160来实现这个功能。

首先将两片74LS160通过串行进位方式接成百进制计数器,即分别将“秒”和“分”个位的进位输出信号经非门作为“秒”和“分”十位的计数输入脉冲。

当计数器从全0状态开始计数,计入59个脉冲时,经与非门译码产生低电平信号立刻将两片74LS160同时置零,于是便得到了60进制的计数器。

74160的逻辑功能示意图、引脚图及功能表如下所示。

图5a)74160逻辑功能示意图b)74160引脚图图674160逻辑功能表②“时”电路根据题目要求,“时”是24进制的,而且是从“00”到“23”,可以使用十进制的74LS160来实现这个功能。

首先将两片74LS160通过串行进位方式接成百进制计数器,当计数器从全0状态开始计数,计入23个脉冲时,经与非门译码产生低电平信号立刻将两片74LS160同时置零,于是便得到了24进制的计数器。

(3)译码驱动电路计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路。

由于CD4511是输出高电平有效,所以选用七段共阴极LED数码管。

若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。

“秒”用数码管显示如图7所示。

图7“秒”的译码及驱动显示电路图(4)校时电路数字种启动后,每当数字钟显示与实际时间不符合,需要根据标准时间进行校时。

通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。

校“秒”时,采用等待校时。

校“分”、“时”的原理比较简单,采用加速校时。

对校时电路的要求是:1.在小时校正时不影响分和秒的正常计数。

2.在分校正时不影响秒和小时的正常计数。

当开关断开时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关闭合时,情况正好与上述相反,这时校时电路处于校时状态。

与非门可选74LS00,非门则可用与非门2个输入端并接来代替从而节省芯片。

校时电路图见图8。

8校时电路图(5)整点报时电路一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。

其作用方式是发出连续的或有节奏的音频声波。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与。

电路在整点前6秒钟内开始整点报时,即当时间在59分54秒到59分59秒期间时,报时电路产生报时控制信号,控制小喇叭产生低音;当时间为00分00秒时,报时电路产生报时控制信号,控制小喇叭产生高音。

5、软件调试方法与运行结果说明(1)软件调试方法由于仿真时晶振不能正常工作,所以通过外接1KHz方波信号来调试电路。

“时”“分”“秒”电路的调试:“时”为24进制(从“00”到“23”),“分”和“秒”都为60进制(从“00”到“59”)。

校时电路的调试:可以通过校时、校分电路的开关来校对时间,并判断电路的“时”“分”“秒”的进制是否正确。

开关断开时电路处于正常工作状态,开关闭合时电路处于校时、校分状态。

(2)运行结果说明数码管的各部分可以正确显示,电路的“时”为24进制(从“00”到“23”),“分”和“秒”都为60进制(从“00”到“59”)。

开关断开时电路处于正常工作状态,开关闭合时电路处于校时、校分状态,通过控制开关及输入信号可以达到校时功能。

三、设计体会与建议1.设计体会我觉得此次的数字钟设计实验,电路原理相对来比较简单,但电路图比较复杂,所用芯片比较多,相应的连线也多,这就给焊接电路增加了较大的难度。

不过通过此次实验,使我更进一步地熟悉了芯片的结构,掌握了实验中所用各芯片的工作原理和其具体的使用方法,同时还接触到了一些新认识的芯片,增长了见识。

这次课程设计是一次难得的锻炼机会,让我们能够充分运用所学过的理论知识和自己动手实际操作的能力,另外还让我们学习查找资料的方法,以及自己设计电路、焊接电路、分析解决电路存在的问题的能力。

相关文档
最新文档