设计一个24进制计数器
数电选择题
![数电选择题](https://img.taocdn.com/s3/m/12570369a45177232f60a279.png)
1. 引起组合逻辑电路中竟争与冒险的原因是( )A 、逻辑关系错;B 、 干扰信号;C 、电路延时;D 、电源不稳定。
2. 组合逻辑电路通常由( )组合而成。
A. 门电路B. 触发器C. 计数器D. 寄存器 3. 指出下列各式中哪个是四变量A、B、C、D的最小项( )A 、ABC;B 、A+B+C+D;C 、ABCD;D 、A+B+D 4. 逻辑数F=A C +A B +B C ,当变量的取值为( )时,将出现冒险现象。
A. B=C=1 B. B=C=0 C. A=1,C=0 D. A=0,B=0 5. 时序逻辑电路中一定是含( )A. 触发器B. 组合逻辑电路C. 移位寄存器D. 译码器6.用几个触发器构成计数器,可得到最大计数长度是( ) A. n B. 2n C . 2n D. 2n-17.当 A=B=0时,能实现Y=1的逻辑运算为:( )A . Y=A ▪B B .Y=A+BC .Y=A ○+B D .B A Y += 8.二进制(1010)2化为十进制数为:( )A. 20B. 10C. 8D. 6 9.下列哪种逻辑表达简化结果是错误的: ( )A. A+1=AB. A+AB=AC. A1 = AD. AA = A 10. 十进制数4用8421BCD 码表示为:( ) A. 100 B. 0100 C. 0011 D. 11 11. 功能最为齐全、通用性最强的触发器为:( )A. RS 触发器B. JK 触发器C. T 触发器D. D 触发器 12.构成计数器的基本电路是:( )A. 与门B. 或门C. 非门D. 触发器 13.某个寄存器中有8个触发器,它可存放( )位进制数。
A. 2 B.3 C.8 D.28 14.单稳态触发器的工作过程为:( )A. 稳态→暂态→稳态B.第一稳态→第二稳态C.第一暂态→第二 暂态D. 第一暂稳态→第二暂稳态15、是8421BCD 码的是( )。
24进制计数器
![24进制计数器](https://img.taocdn.com/s3/m/350976936bec0975f465e257.png)
沈阳工业大学实验报告(适用计算机程序设计类)实验名称:24进制加法计数器1.实验目的:(1)学习掌握VHDL语言程序编写的方法,并利用其解决实际问题。
(2)学习掌握QuartusII应用软件,练习使用原理图输入设计方法,并正确使用软件仿真解决实际问题。
(3)学习掌握EDA实验系统的应用,学会利用其解决实际的问题。
2.实验内容:(1)学习掌握利用QuartusII应用软件进行工作库文件的创建、VHDL语言的编写、工程创建、时序仿真及引脚设置和下载等操作。
(2)学习掌握EDA实验系统模式的选择及引脚的查询等使用操作。
(3)利用VHDL硬件描述语言及原理图输入设计方法,设计一个24进制加法计数器,并利用QuartusII进行功能仿真,并通过EDA实验系统验证其功能的正确性。
3.实验方案(程序设计说明)(1)明确所要设计的电子线路所要实现的功能和要求,并设计方案。
(2)根据自己的设计方案,设计一个十进制的VHDL硬件描述语言。
(3)利用QuartusII将十进制的VHDL硬件描述语言转化为原理图。
(4)利用QuartusII软件创建工程,并进行时序仿真,观察波形图。
(5)把设计的VHDL硬件语言进行引脚设置,下载到EDA实验系统。
4. 实验步骤或程序(经调试后正确的源程序)附件A 沈阳工业大学实验报告(适用计算机程序设计类)1、建立10进制计数器工作库文件夹、并编辑设计VHDL 文件2、创建10进制加法计数器的工程见附件A5.程序运行结果绘制的仿真波形图能够正确的反映出所设计电子线路的功能,下载到EDA 实验系统的文件,能够通过EDA 实验系统正确的实现其功能。
6.出现的问题及解决方法问题:在利用原理图创建24进制计数器工程时,把10进制计数器原理图的工程替换了解决方法:重新创建10进制计数器工程,并构建24进制计数器。
3、利用原理图输入设计法设计24进制加法计数器4、创建24进制加法计数器的工程5、24进制加法计数器时序仿真6、引脚设置(模式5)选择模式5的数码管1和数码管2Q0[0] 引脚:PIO16-13 Q0[1] 引脚:PIO17-14 Q0[2] 引脚:PIO18-15 Q0[3] 引脚:PIO19-16 Q1[0] 引脚:PIO20-17 Q1[1] 引脚:PIO21-18 Q1[2] 引脚:PIO22-19 Q1[3] 引脚:PIO23-20 CLOCK: 选择clock2-1537、配置文件下载。
EDA 24进制计数器的设计
![EDA 24进制计数器的设计](https://img.taocdn.com/s3/m/c87fe3dc3186bceb19e8bbc0.png)
《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验1:24进制计数器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。
2、要求具备使能功能和异步清零功能。
3、设计完成后生成一个元件,以供更高层次的设计调用。
4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
三、实验程序(原理图)四、仿真及结果分析在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,设计一个24进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步24进制,则个位接成0011,十位接成0010,再用一个四输入(一段接一个使能信号EN)的与非门接到两片74160计数器上的置数端LDN。
把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的24进制。
然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。
五、硬件验证1、选择模式:模式72、引脚锁定情况表:六、小结经过这次的实验工作,让我知道了许多的东西,也对QuartusII这个软件的一个初步认识及应用,也让我了解了许多在书本上所学不到的知识和技能,这为我们在以后的工作起了非常重要的作用。
复习题(数电)
![复习题(数电)](https://img.taocdn.com/s3/m/2e64a83343323968011c9291.png)
《数字电子技术》复习题一、填空题1.(127)10= ( )2=( )8=( )16= ( )8421BCD2. n 变量的逻辑函数有 个最小项,任意两个最小项的乘积为3. 计算机键盘上101个键用二进制代码进行编码,至少应为___位二进制代码。
4. 1个变量可构成 个最小项,每种变量的取值可使 个最小项的值为1。
5. 当A=1,B=1,C=0时,A ⊕B ⊕C= ,A+B ⊕C= 。
6. 函数 的反函数 = 。
7. OC 门的典型应用 , 和 。
8. 除去有高、低电平两种输出状态外,三态门的第三态输出是____状态。
9. 优先编码器74LS148输入为```,输出为、、。
当使能输入,,时,输出应为________________________。
10. n 位二进制代码的线译码器,必然有_____个输出端,且译码器工作时,只有_____个呈现有效电平。
11. 一个十六选一的数据选择器,其选择控制信号端有________个。
12. J-K 触发器在直接复位时应使D R =________ ,D S =________。
13. JK 触发器的特性方程为 。
14. 可控R-S 触发器、J-K 触发器在直接复位时应使D R =_____ ,D S =______。
15. 将D 触发器的D 端连在 端上,假设Q (t )=0,则经过100个脉冲作用后,它的次态Q(t+100)为_________________________。
16. 构造一个模6计数器需要 个状态, 个触发器。
17. 用四个触发器组成的计数器最多应有 个有效状态,若要构成十二进制计数器,最少用. 个触发器,它有 个无效状态。
18. 由于R-S 触发器有_________个稳态,因此它可记录_________________位二进制码。
若存储一字节二进制信息,需要_____________个触发器。
19. 若要制成一个60分频器,至少需要 片74LS161。
24进制计数器proteus实验报告
![24进制计数器proteus实验报告](https://img.taocdn.com/s3/m/9d8138210a4e767f5acfa1c7aa00b52acec79c52.png)
24进制计数器proteus实验报告24进制计数器是在电子系统的设计中经常使用的计数器,具有高速、稳定、精度高等特点,因此在各种计数相关的操作和应用中被广泛使用。
本次实验的目的是在Proteus软件中实现24进制计数器,并验证其计数功能和参数的准确性。
实验器材:- Proteus软件-一块PIC16F877A单片机-一个24进制数码管实验原理:24进制计数器是指计数器的基数为24,即每一次计数器加1所表示的是24进制数中的1。
在本次实验中,我们将采用PIC16F877A单片机来实现24进制计数器,通过单片机来对计数器的计数值进行控制和显示。
具体实现需要根据PIC16F877A的编程和控制的特点,针对24进制计数器设计适当的算法与操作。
实验过程:1.根据24进制计数器的原理,确定计数器所采用的基数为24,编写程序,对PIC16F877A进行初始化和IO口配置。
2.在Proteus软件中,添加PIC16F877A单片机和24进制数码管,并连接需要的电路。
3.编写程序,设置计数范围,并实现对计数值的加1和显示。
4.进行仿真测试,查看计数器的正确性和稳定性。
5.通过调整程序和电路参数,优化计数器的性能和准确性。
实验结果:经过一系列的设计和测试,实验结果表明,本次24进制计数器的实验操作成功,可以实现稳定的计数功能。
在计数器运行的过程中,可以正确显示当前的计数值,并能够正常进行加1操作。
同时,在根据实际需要调整计数范围和显示参数的过程中,可以使用该计数器进行更加精确和高效率的计数操作。
实验结论:通过本次实验的操作和测试,可以有效地理解和应用24进制计数器的原理和实现方法,掌握PIC16F877A单片机作为控制器的实现技术。
该计数器具有高速、稳定和精度高等特点,在各种计数相关的操作和应用中具有广泛的实用价值。
二十四进制计数器设计
![二十四进制计数器设计](https://img.taocdn.com/s3/m/81c87cbdd1f34693daef3e3b.png)
目录摘要 (1)1. 设计任务 (2)1.1 设计目的 (2)1.2 设计指标 (2)1.3 设计要求 (2)2.设计思路与总体框图 (3)3.系统硬件电路的设计 (3)3.1 555多谐荡电路 (3)3.2 计数器电路 (5)3.3 译码和显示电路 (6)4.系统设计仿真 (6)4.1各功能元件的选用与分析 (6)一.74LS48译码器 (6)二. 74LS08芯片 (7)三. 计数及译码显示 (8)四. 共阴极七段数码管显示器 (10)五.电阻 (11)六.电容 (15)4.2仿真原理总设计图 (17)5. 系统硬件焊接与调试 (18)5.1焊接步骤 (18)5.2元件清单 (18)5. 3实物图 (19)5.2硬件电路测试 (20)总结 (21)致谢 (22)参考文献 (23)二十四进制计数器设计摘要:24进制数字钟是一种用数字电路技术实现时计时的装置,与机械式时钟相比具有更高的准确性和直观性。
此次设计与制作24进制电子数字钟时计数、译码、显示电路需要了解组合逻辑电路和时序逻辑电路;了解集成电路的引脚安排;了解各种时计数、译码芯片的逻辑功能及使用方法;了解数字钟的原理。
本次设计是基于24进制电子数字钟的原理,实现具有24进制清零功能的电子钟,它主要由脉冲、二-五-十进制加法器74LS90、译码器74LS48、共阴极LED数码管等四个模块构成。
脉冲利用555设计一个多谐振荡器。
各功能模块multisim 软件中描述出,然后将其打包成可调用的元件,再利用原理图输入法将各模块按功能连接起来就得到顶层文件的原理图。
这时,再进行时序仿真、引脚锁定和嵌入逻辑分析仪之后,就编译下载至硬件中,选择正确的模式和各种设置后即可实现这次设计所要求的功能。
关键词:加法器;译码器;显示数码管1. 设计任务1.1 设计目的1. 了解计数器的组成及工作原理。
2. 进一步掌握计数器的设计方法和计数器相互级联的方法。
3. 进一步掌握各芯片的逻辑功能及使用方法。
数字逻辑电路复习资料2018(1)
![数字逻辑电路复习资料2018(1)](https://img.taocdn.com/s3/m/8732334676232f60ddccda38376baf1ffc4fe322.png)
1.连续异或1985个1的结果是( B )A,0 B,1C,不确定D,逻辑概念错误2.在二进制逻辑运算中,1+1=(A)A, 0; B,1C,2 D,103.连续异或1986个1的结果是(A)A,0 B,1C,不确定D,逻辑概念错误4.给48个字符编码,至少需要( B )位二进制数;A,5; B,6C,7 D,85.符合逻辑“或”运算规则的是( D )。
A、1×1=1B、1+0=0C、1+1=10D、1+1=16.逻辑函数F=AB +A经过化简所得的结果是( A)。
A、AB、BC、CD、AB7.下列哪种逻辑表达式化简结果是错误的( C )A, A+1=1; B,AA=A;C,A+0=0 D;A+AB=A8.三位二进制编码器,其输入端共有( A)位;A,3;B,4;C,8;D,16 9.下列各门电路中,哪个电路输出端可以直接相连,实现线与功能。
( B )A,TTL与非门;B,TTL集电极开路门;C,CMOS与非门;D,TTL传输门10.组合逻辑电路的特点是输出状态只决定于同一时刻的( B )状态。
A、输出B、输入C、输入与输出D、前三者都不对11.十进制数6用8421BCD码表示为:( B )A,110; B,0110;C,0111;D;11;12.下列选项中,哪个是变量A,B,C,D的最小项( B )A,A+B+C+D; B,ABCD;C,ABC;D;A+B+C;13.十进制数5用8421BCD码表示为:( B )A,101; B,0101;C,1010;D;011;14.一个三输入端与非门,使其输出为0的输入端的组合有( C )种。
A,7; B,8;C,1 D;4=+=(A)15.逻辑函数F A ABC+;D, A+C;A, A+BC; B,A;C,A C16.下列哪种逻辑表达式化简结果是错误的( C )A, A+1=1; B,AA=A;C,A+0=0 D;A+AB=A17.下列选项中,哪个是变量A,B,C的最小项( C )A,A+B+C+D; B,ABCD;C,ABC;D;A+B+C;18. 逻辑函数F A A C =+=( A )A,A+C; B ,A ; C ,A C +; D, C ;19. 一个三输入端或非门,使其输出为1的输入端的组合有( C )种。
eda24进制计数器设计
![eda24进制计数器设计](https://img.taocdn.com/s3/m/c10adf0cf011f18583d049649b6648d7c1c708be.png)
EDA24进制计数器设计1. 任务背景计数器是计算机系统中常见的一种电路,用来实现对数字进行计数的功能。
传统的计数器一般是采用二进制表示数字,然而在某些特定的应用场景中,使用其他进制的计数器能够更方便和高效。
EDA24进制计数器是指使用24进制来表示数字的计数器。
24进制是一种特殊的进制,它由24个数字符号(0-23)组成,分别对应于十进制的0-9、字母A-J、字母K-T和字母U-Y。
使用24进制计数器可以更精确地表示某些特定范围内的数字,而且减少了数字的位数和转换过程中的计算复杂度。
本文将介绍如何设计一个EDA24进制计数器,包括计数器的原理、硬件设计和功能实现等方面的内容。
2. 原理介绍EDA24进制计数器的工作原理与传统的计数器类似,主要分为三个部分:计数器状态存储、计数器状态更新和计数器输出。
2.1 计数器状态存储EDA24进制计数器需要使用存储器来保存当前的计数器状态。
由于EDA24进制有24个数字符号,每个符号对应一个存储单元,因此需要一个24位的存储器来存储计数器的状态。
存储器的结构可以采用RAM或者寄存器等形式。
当计数器进行更新时,计数器状态存储器会读取新的计数器状态。
2.2 计数器状态更新EDA24进制计数器的计数逻辑与二进制计数器类似,但需要对进位的处理进行特殊处理。
在24进制下,当某一位达到23时,需要进行进位操作,并将低位的符号进行进位。
例如,当计数器达到23时,进位得到的数字为10(对应K),并将低位的数字进行滚动。
以一个4位的EDA24进制计数器为例,计数范围为0000~2323。
初始状态为0000,当计数值增加时,每一位的变化规律如下:•当个位(最低位)从0~2变化时,直接递增;•当个位达到3时,个位变为0,十位(倒数第二位)递增;•当十位从0~2变化时,直接递增;•当十位达到3时,十位变为0,百位(倒数第三位)递增;•当百位从0~2变化时,直接递增;•当百位达到3时,百位变为0,千位(最高位)递增;•当千位从0~2变化时,直接递增;•当千位达到3时,计数器归零。
(完整word版)篮球竞赛24s计时器
![(完整word版)篮球竞赛24s计时器](https://img.taocdn.com/s3/m/9c793e62192e45361066f59a.png)
电子课程设计--篮球竞赛24s计时器学院:电子信息工程学院专业、班级:姓名:学号:指导老师:2013年12月22日目录一设计任务及要求 (3)二总体框图 (3)三器件选择 (4)四模块功能 (5)五总体设计电路图 (11)六硬件调试 (13)七设计心得 (14)篮球竞赛24s计时器一、设计任务及要求1、设计任务本设计主要能完成:在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。
本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。
一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。
2、基本要求1.要求电路为24秒递减计时,每隔1秒钟,计时器减1;2.要有外部开关,控制计数器的直接清零、复位、启动和暂停/连续计时功能;3.当计时器倒计时为零时,即定时时间倒,显示为零,同时发出声光报警信号。
二、电路框图及工作原理2.1电路框图24秒计时器的总体方案框图如图2-1所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。
其中计数器和控制电路是系统的主要模块。
计数器完成24秒计时功能.而控制电路完成计数器的直接复位、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,电路可采用555集成电路组成的多谐振荡器构成。
译码显示电路四线数码管报警电路在试验中可用蜂鸣器实现。
图2-1 总体方案框图 2.2设计方案本设计中,24进制计数器是整个电路的核心部分,我选择74LS192进行24进制同步减法、加法计数。
选择两个四段数码显示管进行显示。
根据设计要求,本课程设计采用555计时器制成的多谐振荡器,对24进制计数器进行秒脉冲的输入。
在本设计中,因为我们需要对其进行暂停、复位、加法、报警等控制,所以我们使用了三个开关来控制计数器的各功能的实现,从而实现了各种功能的。
湖北汽车工业学院电子技术基础(数电B 2014--2020年考研初试真题+2016答案+2014答案
![湖北汽车工业学院电子技术基础(数电B 2014--2020年考研初试真题+2016答案+2014答案](https://img.taocdn.com/s3/m/203ac5ffb0717fd5370cdc26.png)
注意:所有答题内容必须写在答题纸上,写在试题或草稿纸上的一律无效;考 完后试题随答题纸交回。 一、概念填空题(1-10 小题,每空 1 分,共 15 分)
1、进制转换:(8F.4)16=(___________)10=(_______________)2 。
2、函数表达式 Y= AC B CD ,则其反演式 Y
图5
考试科目:电子技术基础(数字电路) 第 5 页 共 5 页 (B 卷)
4、(本题 12 分)4 位二进制计数器 74LS161 的逻辑符号如图 5 所示。请用 74LS161 两种方案实现 6 进制计数器,分别画出电路图。 (1)用异步反馈清零法;(6 分) (2)用同步反馈置数法。(6 分)
A.4 B.5
C.9 D.20
8、 下列逻辑电路中为时序逻辑电路的是( )。
A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器
9、一个 32 路数据选择器,其地址输入(选择控制输入)端有(
)。
A. 2 个
B. 5 个
C. 4 个
D. 3 个
10、设计五进制计数器至少需要(
)个触发器。
A、3
B、4
。
A.高
B. 低
C.差不多
5、与该函数式 AB + A⋅ C + BCDE 相等的表达式为_______。
A. A + BCDE B. AB + AC C. AB + BC + AC
6、使函数 AB + AB 取值为 1 的变量组合为 AB =
。
A. 00、11
B. 10、10
C. 01、01
7、二进制数 1001 0111 转换为十进制后为
设计一个24进制计数器(时序逻辑电路设计实验 )
![设计一个24进制计数器(时序逻辑电路设计实验 )](https://img.taocdn.com/s3/m/45a48955b307e87101f69690.png)
二.整体清零法实现24进制计数器
1.设计过程:
2.所用器件:
器件型号
功能
数量
74LS161
4位二进制同步加法计数器
2片
74LS00
四2输入与非门
1片
74LS08
四2输入与门
1片
74LS10
三3输入与非门
1片
3.仿真实现过程:
(1)首先遇到的问题是级联问题,由于要实现24进制,所以需要2片74ls161芯片,所以就不可避免的遇到级联问题,解决该问题就需要将第2片74ls161芯片的进位信号与第1片74ls161芯片的EP端和ET端连接在一起,实现进位和级联。
阶段性考核之三:【平时成绩15分】
时序逻辑部分设计型实验报告
实验题目
设计一个24进制计数器
学生姓名
班 级
学 号
任课教师
实验成绩
完成时间
2015年07月20号
实验题目
设计一个24进制计数器
实验目的
本次实验要求学生设计一个24进制计数器电路。其目的在于:
1.使学生学会用555定时器自行产生时钟脉冲的设计方法;
2.使学生深入理解用已有集成计数器实现任意进制计数器的设计过程,并用数码管显示相应数字;
3.进一步锻炼学生的动手实践能力。
具体
实验
要求
选用4位二进制集成计数器74LS161设计一个24进制计数器。
eda24进制计数器设计
![eda24进制计数器设计](https://img.taocdn.com/s3/m/dff49c124a35eefdc8d376eeaeaad1f34693110d.png)
设计一个EDA(电子设计自动化)工具的24进制计数器的基本步骤如下:
1. 构建基本逻辑单元:
在设计24进制计数器之前,首先需要构建基本逻辑单元,如触发器或锁存器,以便存储和处理计数。
2. 确定计数范围:
在开始设计前,需要确定计数器的计数范围。
例如,如果需要表示0到23的范围,则计数器需要能在该范围内有效计数。
3. 推导需求特征:
基于你选择的基本逻辑单元推导组合逻辑和时序逻辑特征,以设计恰当的计数器。
4. 设计状态机:
设计一个有限状态机(FSM),以观察计数器状态的转换并确保可靠性和稳定性。
5. 设计24进制加法器:
设计一个全加器以实现24进制数的加法操作。
由于24进制计数器每个位最高数值为23(用0-N表示,例如0-9、A-N或0-夜,按照标准24进制),因此需要考虑进位。
6. 链接基本组件:
将设计好的24进制加法器连接到触发器或锁存器,以更新计数值并从一个状态迁移到另一个状态。
7. 时钟控制:
引入时钟模块来控制计数器的工作节奏。
每次时钟周期到达时,计数器将更新计数值。
8. 设计复位和清零逻辑:
实现一个逻辑控制来负责复位及清零操作,将计数器重置为初始状态。
9. 进行仿真测试:
运行仿真测试,检查计数器在不同条件下的运行情况,确保其准确、可靠地工作。
10. 设计可视化界面:
创建一个可视化界面,以便于用户直观地获取计数器状态和计数过程。
5章时序逻辑电路复习题
![5章时序逻辑电路复习题](https://img.taocdn.com/s3/m/642126de1eb91a37f0115c10.png)
时序逻辑电路一、选择题:1、相同计数器的异步计数器和同步计数器相比,一般情况下( )A. 驱动方程简单B. 使用触发器个数少C. 工作速度快D. 以上都不对2、n级触发器构成的环形计数器,其有效循环的状态数是( )A. n个B. 2个C. 4个D. 6个3、下图所示波形是一个( C )进制加法计数器的波形图。
试问它有( A )个无效状态。
A .2; B. 4 ; C. 6; D. 12CPQ1Q2Q34、设计计数器时应选用()。
A.边沿触发器 B.基本触发器C.同步触发器 D.施密特触发器5、一块7490十进制计数器中,它含有的触发器个数是( )A. 4B. 2C. 1D. 66、n级触发器构成的扭环形计数器,其有效循环的状态数是( )A. 2n个B. n个C. 4个D. 6个7、时序逻辑电路中一定包含()A.触发器B.组合逻辑电路C.移位寄存器D.译码器8、用n个触发器构成计数器,可得到的最大计数长度为()A. 2n C.2n D.n9、有一个移位寄存器,高位在左,低位在右,欲将存放在其中的二进制数乘上(4)10,则应将该寄存器中的数()A.右移二位B.左移一位C. 右移二位D.左移一位10、某时序逻辑电路的状态转换图如下,若输入序列X=1001时,设起始状态为S1,则输出序列Z=()X/Z 0/11/0 S1 S2 0/01/1A. 0101 .1011 C11、、一位8421BCD码计数器至少需要()个触发器A. 4B. 3C.512、利用中规模集成计数器构成任意进制计数器的方法有( ABC )A.复位法 B .预置数法 C .级联复位法 13、在移位寄存器中采用并行输出比串行输出 ( )。
A.快B.慢C.一样快D.不确定14、用触发器设计一个24进制的计数器,至少需要( )个触发器。
A. 5 .4 C D. 315、在下列逻辑电路中,不是组合逻辑电路的有( )。
A. 寄存器B.编码器C.全加器D. 译码器 16、一个 4 位移位寄存器可以构成最长计数器的长度是( )。
广工quartus 24进制计数器
![广工quartus 24进制计数器](https://img.taocdn.com/s3/m/eac9327b8e9951e79b8927c2.png)
数电实验报告实验名称可编程逻辑器件制作任意进制计数器学院自动化学院年级班别学号学生姓名指导教师年月日用可编程逻辑器件设计计数器任意进制计数器一、实验目标1)掌握中规模集成计数器的逻辑功能,以及用中规模集成技术器构成任意进制计数器的方法2)熟悉译码器和数据显示器的使用方法3)了解数字可编程器件实现的集成计数、译码电路功能二、实验方案+步骤用中规模集成计数器(74LS160)设计一个二十四进制计数器,并与译码、显示电路连接起来。
⑴ 设计总框架:⑵ 设计总原理图如下:⑶ 分步分析:①分频器模块:分频器 计数器 B C D 七段字符显示译码器 数码管50MHz 2Hz BCD 码 译码输出本实验采用DEII 板进行验证,DEII 板上有两个内置的频率源,它们的振荡频率分别是50MHz 与27MHz 。
但是这样的频率对于我们时序电路的应用而言,显然太高了。
为此我们在内置频率源后应加一个分频器(74LS292),以得到我们需要的比较适中的频率(比如1~2Hz )DE2上有内置的50MHz 时钟CLOCK_50EDCBA = (11001 )2= (25)10②计数器模块本实验采用两片10进制计数器74LS160芯片来进行24进制计数器的设计。
③显示模块由实验板的数码管是共阳性,所以采用7446译码器来驱动。
三、时序仿真①计数器模块24个脉冲输出一个进位脉冲,即代表24进制。
②显示模块Hz MHz CLK f Q 6.1250212525≈==四、实验验证实验板上的两个数码管循环显示数字从0-23,即实现24进制电路的设计。
五、实验心得本实验主要需要先想好要用什么芯片来设计24进制电路,记忆最后需要用什么译码器来显示结果。
24进制的电路设计原理可以推广到其他任意进制的设计。
数字电子钟的设计电路图pcb图
![数字电子钟的设计电路图pcb图](https://img.taocdn.com/s3/m/89daa4cdc5da50e2534d7f65.png)
数字电子钟的设计与制作一、设计概述1.设计任务➢时钟脉冲电路设计➢60进制计数器设计➢24进制计数器设计➢“秒”,“分”,“小时”脉冲逻辑电路设计➢“秒”,“分”,“小时”显示电路设计➢“分”,“小时”校时电路➢整点报时电路2.功能特性➢设计的数字钟能直接显示“时”,“分”,“秒”,并以24小时为一计时周期。
➢当电路发生走时误差时,要求电路具有校时功能。
➢要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。
3.原理框图图 1 原理框图二、设计原理数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。
校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
三、设计步骤1.计数器电路根据计数周期分别组成两个60进制(秒、分)和一个24进制(时)的计数器。
把它们适当连接就可以构成秒、分、时的计数,实现计时功能。
CC4518的符号如图,一个芯片集成了两个完全相同的十进制计数器,其异步清零信号CR是高电平有效。
24进制计数器的设计实验原理
![24进制计数器的设计实验原理](https://img.taocdn.com/s3/m/41563ffadb38376baf1ffc4ffe4733687e21fc3c.png)
24进制计数器是一种计数器,用于在基于24进制的系统中进行计数。
以下是24进制计数器的设计实验原理的基本步骤:
确定计数器位数:确定需要的计数器位数,以决定可以表示的计数范围。
例如,如果需要计数范围为0-23,需要至少4位二进制计数器。
设计逻辑电路:使用逻辑门和触发器等基本组件,设计一个适当的电路来实现24进制计数器。
可以使用不同的设计方法,如同步计数器或异步计数器。
确定计数器状态:确定计数器的各个状态,即在每个计数值时,计数器的输出应该是什么。
在24进制计数器中,状态可以表示为从00到23的不同值。
设计计数器电路:根据计数器位数和状态确定逻辑电路的连接和触发器的触发方式,以实现从一个状态到另一个状态的转换。
确保适当的电路延迟和稳定性。
进行仿真和测试:使用电路设计软件进行仿真和测试,验证计数器的功能和正确性。
检查计数器是否按预期计数,并在达到最大计数值时正确回滚到最小计数值。
制作电路原型:将电路设计制作成电路板或使用开发板进行实际硬件实现。
确保连接正确并进行电路调试。
进行计数器实验:将实现的24进制计数器连接到适当的输入和输出设备,并进行计数器实验。
检查计数器的行为和输出是否符合预期。
这些是24进制计数器的基本设计实验原理。
具体的设计步骤和实验要求可能会根据实验的具体目标和要求有所不同。
在进行设计和实验时,确保遵循正确的电路设计原则和实验安全规范。
二十四小时计时器
![二十四小时计时器](https://img.taocdn.com/s3/m/38a2b2be6294dd88d0d26bc3.png)
二十四小时计时器目录1.项目任务描述 (1)2.24小时计时器流程图 (1)3.24小时计时器源程序 (2)3.1六十进制计数器 (2)3.2二十四进制计数器 (2)4.24小时计时器波形仿真 (3)4.1六十进制计数器波形图 (3)4.2二十四进制计数器波形图 (3)24小时计时器的设计1.项目任务描述:二十四小时计时器是利用特定原理来测定时间的装置,本设计运用Quartus ii 9.0软件以编程的方式实现计时器,计时器由两片六十进制计数器和一片二十四进制计数器构成,输入CLK为1HZ(秒)的时钟,经过60分频后产生1分钟时钟信号,再经过60分频后,产生1小时的时钟信号,最后进行24分频,得到1天的脉冲送COUT输出。
将两个60分频和一个24分频的输出,得到24小时的计时结果,并用数码管显示,所以利用软件分别对二十四进制计数器和六十进制计数器分别编程,编程顺利通过后再通过Quartus ii 9.0软件的波形仿真对二十四进制计数器和六十进制计数器分别仿真,仿真编译通过通过调试得到正确结果,进而验证计数器的正确性,其中60计数器运用ModelSim仿真。
2.24小时计时器流程图:3.24小时计时器源程序:本项目是通过两块六十进制的计数器,和一个二十四进制计数器构成的,所以在这里我们将单独给出二十四进制计数器和六十进制计数器。
运用Quartus ii 9.0软件分别对六十进制计数器和二十四进制计数器编程,调试直到程序编译成功。
3.1六十进制计数器源程序:module cnt60(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0]q;output reg cout;always@(posedge clk^j or negedge clrn)beginif(~clrn) q=0;else beginif(q==’h59) q=0;else q=q+1;if(q[3:0]==’h a) beginq[3:0]=0;q[7:4]=q[7:4]+1;endif(q==’h59) cout=1;else cout=0;endendendmodule3.2二十四进制计数器源程序:module cnt24(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0]q;output reg cout;always@(posedge clk^j or negedge clrn)beginif(~clrn) q=0;else beginif(q==’h23) q=0;else q=q+1;if(q[3:0]==’ha) beginq[3:0]=0;q[7:4]=q[7:4]+1;endif(q==’h23) cout=1;else cout=0;endendendmodule4.24小时计时器波形仿真运用Quartus ii 9.0软件仿真出二十四进制计数器和六十进制计数器的波形,通过波形的仿真可以看到波形的具体变化,实现六十进制计数器(如图4.1)和二十四进制计数器(如图4.2)的计数功能。
数字电子技术
![数字电子技术](https://img.taocdn.com/s3/m/d0ed9440f01dc281e53af043.png)
和逻辑式相等的是()。
C. A(4分)下列门电路属于双极型的是()A. OC门逻辑函数;其对偶函数为()。
B.已知某触发器的时钟CP,异步置0端为RD,异步置1端为SD,控制输入端Vi和输出Q的波形如下图所示,根据波形可判断这个触发器是()。
D. 上升沿T触发器下列所给三态门中;能实现C=0时;F=;C=1时;F为高阻态的逻辑功能的是_________。
A.(4分)对于钟控RS触发器;若要求其输出“0”状态不变;则输入的RS信号应为()A. RS=X0(4分)下列电路中;不属于组合逻辑电路的是()。
C. 寄存器(4分)不需要外加输入信号而自动产生矩形脉冲信号的是( )。
D. 多谐振荡器设图中所有触发器的初始状态皆为0;找出图中触发器在时钟信号作用下;输出电压波形恒为0的是:()图。
C.(4分)下列几种说法中与BCD码的性质不符的是()C. BCD码是一组四位二进制数;能表示十六以内的任何一个十进制数。
(4分)为了把串行输入的数据转换为并行输出的数据;可以使用()C. 移位寄存器(4分)用触发器设计一个24进制的计数器;至少需要( )个触发器。
D. 5(4分)为实现“线与”逻辑功能;应选用()。
C. 集电极开路(OC)门(4分)设某函数的表达式F=A+B;若用四选一数据选择器来设计;则数据端D0D1D2D3的状态是()。
(设A为高位)A. 0111已知;选出下列()可以肯定使F=1的情况。
D. BC=1;D=1(4分)函数F=AB+BC;使F=1的输入ABC的组合为( )。
D. ABC=110(4分)将TTL与非门作非门处理;则多余输入端应作( )处理。
A. 全部接高电平(4分)用逻辑函数卡诺图化简中;四个相邻项可合并为一项;它能:()B. 消去2个表现形式不同的变量;保留相同变量TTL 集成电路74LS138 是3/8线译码器,译码器为输出低电平有效,若输入为A2 A1 A 0 =101 时,输出:为()。
24进制计数器
![24进制计数器](https://img.taocdn.com/s3/m/db7ee619964bcf84b9d57b31.png)
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:同步计数器二、实验目的与要求:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。
三、实验内容:通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:clk:计数时钟脉冲输入;clr:异步清零信号,高电平有效,此时输出显示为“00”ten[6..0]:十位数的7段数码管显示输出;one[6..0]:个位数的7段数码管显示输出;六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-------------------------------entity cnt24 isport(clk,clr:in std_logic;ten,one:out std_logic_vector(6 downto 0);co:out std_logic);end entity cnt24;---------------------------architecture str of cnt24 issignal ten_temp,one_temp:std_logic_vector(3 downto 0); begin--------------------------process(clr,clk) isbeginif (clr='1') thenten_temp<="0000";one_temp<="0000";co<='0';elsif (clk'event and clk='1') thenif(one_temp="0011" and ten_temp="0010") then one_temp<="0000";ten_temp<="0000";co<='1';elsif(one_temp="1001") thenten_temp<=ten_temp+1;one_temp<="0000";elseone_temp<=one_temp+1;end if;end if;end process;----------------------------process(one_temp) isbegincase one_temp iswhen"0000"=>one<="1111110";when"0001"=>one<="0110000";when"0010"=>one<="1101101";when"0011"=>one<="1111001";when"0100"=>one<="0110011";when"0101"=>one<="1011011";when"0110"=>one<="1011111";when"0111"=>one<="1110000";when"1000"=>one<="1111111";when"1001"=>one<="1111011";when others=>one<="1111110"; end case;end process;---------------------------------- process(ten_temp)isbegincase ten_temp iswhen"0000"=>ten<="0000000";when"0001"=>ten<="0110000";when"0010"=>ten<="1101101";when others=>ten<="1111110"; end case;end process;-----------------------------------end str;七、实验结果与分析:1,RTL仿真如下:2,时序仿真结果:3,代码下载后的部分实例:计数到23时,无进位。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
5.在该实验报告中要有完整的设计过程、仿真电路图和实验调试过程。
6.总结本次实验的收获、体会以及建议,填入本实验报告的相应位置中。【收获、体会必须写!】
设计过程
一.整体清零法实现24进制计数器
1.设计过程:
【该部分打印报告时可留出足够的空白处手写完成,也可以电子版的形式直接书写到此处】
2.所用器件:
【包括器件型号、功能及数量】
3.仿真实现过程:
【仿真电路图可以以附表的形式在实验报告后面单附,此处描述仿真调试过程,即:在调试时遇到了哪些实际问题,你是如何解决的?】
具体
实验
要求
选用4位二进制集成计数器74LS161设计一个24进制计数器。
1.试用整体清零法仿真实现上述24进制计数器;
2.试用整体置数法仿真实现上述24进制计数器。
3.要求在实验室用实物搭接时实现上述1、2中任意一种情况即可。
4.24进制要求必须用74LS161实现,不允许用74LS160。
基本要求:用发光二极管实现24个状态的显示。【完成ቤተ መጻሕፍቲ ባይዱ部分功能最高平时成绩7分】
二.整体置数法实现24进制计数器
1.设计过程:
2.所用器件:
3.仿真实现过程:
实验心得
附录1:整体清零法仿真电路图
附录2:整体置数法仿真电路图
附录2:方案二仿真电路图
附录3:方案三仿真电路图
附录4:方案四仿真电路图
阶段性考核之三:【平时成绩10分】
时序逻辑部分设计型实验报告
实验题目
设计一个24进制计数器
学生姓名
班 级
学 号
任课教师
实验成绩
完成时间
实验题目
设计一个24进制计数器
实验目的
本次实验要求学生设计一个24进制计数器电路。其目的在于:
1.使学生深入理解分立元件构成的时序逻辑电路设计过程;
2.进一步锻炼学生的动手实践能力。