计算机中的运算器部件实验(精选)

合集下载

实验一_运算器实验(接线参考)

实验一_运算器实验(接线参考)

实验一运算器实验一、实验目的:1.掌握运算器的组成及工作原理;2.了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过程;3.验证带进位控制的74LS181的功能。

二、预习要求:1复习本次实验所用的各种数字集成电路的性能及工作原理;2预习实验步骤,了解实验中要求的注意之处。

三、实验设备:EL-JY-II型计算机组成原理实验系统一套,排线若干。

四、电路组成:本模块由算术逻辑单元ALU 74LS181(U7、U8、U9、U10)、暂存器74LS273(U3、U4、U5、U6)、三态门74LS244(U11、U12)和控制电路(集成于EP1K10部)等组成。

电路图见图1-1(a)、1-1(b)。

图1-1(a)ALU电路图1-1(b)ALU控制电路算术逻辑单元ALU是由四片74LS181构成。

74LS181的功能控制条件由S3、S2、S1、S0、 M、Cn决定。

高电平方式的74LS181的功能、管脚分配和引出端功能符号详见表1-1、图1-2和表1-2。

四片74LS273构成两个16位数据暂存器,运算器的输出采用三态门74LS244。

它们的管脚分配和引出端功能符号详见图1-3和图1-4。

图1-2 74LS181管脚分配表1-2 74LS181输出端功能符号74LS181功能表见表1-1,其中符号“+”表示逻辑“或”运算,符号“*”表示逻辑“与”运算,符号“/”表示逻辑“非”运算,符号“加”表示算术加运算,符号“减”表示算术减运算。

选择 M=1逻辑操作 M=0 算术操作S3 S2 S1 S0 Cn=1(无进位)Cn=0(有进位)0 0 0 0 F=/A F=A F=A加10 0 0 1 F=/(A+B) F=A+B F=(A+B)加10 0 1 0 F=/A*B F=A+/B F=(A+/B)加10 0 1 1 F=0 F=减1(2的补)F=00 1 0 0 F=/(A*B) F=A加A*/B F=A加A*/B加10 1 0 1 F=/B F=(A+B)加A*/B F=(A+B)加A*/B加10 1 1 0 F=(/A*B+A*/B) F=A减B减1 F=A减B0 1 1 1 F=A*/B F=A*/B减1 F=A*/B1 0 0 0 F=/A+B F=A加A*B F=A加A *B加11 0 0 1 F=/(/A*B+A*/B) F=A加B F=A加B加11 0 1 0 F=B F=(A+/B)加A*B F=(A+/B)加A*B加11 0 1 1 F=A*B F=A*B减1 F=A*B1 1 0 0 F=1 F=A加A F=A加A 加11 1 0 1 F=A+/B F=(A+B)加A F=(A+B)加A加11 1 1 0 F=A+B F=(A+/B)加A F=(A+/B)加A加11 1 1 1 F=A F=A减1 F=A图1-3(a) 74LS273管脚分配图1-3(b)74LS273功能表图1-4(a) 74LS244管脚分配图1-4(b) 74LS244功能五、工作原理:运算器的结构框图见图1-5:算术逻辑单元ALU是运算器的核心。

计算机组成原理实验-运算器组成实验报告

计算机组成原理实验-运算器组成实验报告

计算机组成原理课程实验报告9.3 运算器组成实验*名:***学号:系别:计算机工程学院班级:网络工程1班指导老师:完成时间:评语:得分:9.3运算器组成实验一、实验目的1.熟悉双端口通用寄存器堆的读写操作。

2.熟悉简单运算器的数据传送通路。

3.验证运算器74LS181的算术逻辑功能。

4.按给定数据,完成指定的算术、逻辑运算。

二、实验电路S3S2S1S0M图3.1 运算器实验电路图3.1示出了本实验所用的运算器数据通路图。

参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。

RF(U30)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。

双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。

而WR1、WR0用于选择写入的通用寄存器。

LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。

RF的A、B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS 上。

DR1和DR2各由1片74LS273构成,用于暂存参与运算的数据。

DR1接ALU的A输入端口,DR2接ALU的B输入端口。

ALU由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。

实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。

另有一个指示灯C显示运算器进位标志信号状态。

图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一)计算机组成原理运算器实验报告实验目的•理解计算机组成原理中运算器的工作原理•学习运算器的设计和实现方法•掌握运算器的性能指标和优化技巧实验背景计算机组成原理是计算机科学与技术专业中的重要课程之一,通过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。

运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。

在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的运算器。

实验步骤1.确定运算器的功能需求–确定需要支持的算术运算和逻辑运算–设计运算器的输入和输出接口2.实现运算器的逻辑电路–根据功能需求,设计并实现运算器的逻辑电路–确保逻辑电路的正确性和稳定性3.验证运算器的功能和性能–编写测试用例,对运算器的功能进行验证–测量运算器的性能指标,如运算速度和功耗4.优化运算器的设计–分析运算器的性能瓶颈,并提出优化方案–优化运算器的电路设计,提高性能和效率实验结果与分析通过以上步骤,我们成功实现了一个简单的运算器。

经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。

经过优化后,运算器的速度提高了20%,功耗降低了10%。

实验总结通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。

通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。

这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。

参考文献•[1] 《计算机组成原理》•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014.实验目的补充•掌握运算器的工作原理和组成要素•学习如何设计和实现运算器的各个模块•理解运算器在计算机系统中的重要性和作用实验背景补充计算机组成原理是计算机科学中的基础课程,它研究计算机硬件和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。

运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对计算机的性能和功能起着重要作用。

计算机部件实验之运算器

计算机部件实验之运算器

一、计算机部件实验之运算器的硬件描述图二、计算机部件实验之运算器实验过程与信号首先利用开关S0~S7设置一个8位的数据,寄存在74LS244A,使开关S13(U244AOE)为低电平,使寄存在74LS244中的数据向总线输出。

L13反映S13的状态。

在总线上的数据可以通过L0~L7看到。

第二步,把总线上的数据送入74LS377保存,当S14为低电平(U377EN=0)并且S15有一个脉冲信号时从它输出送ALU的A端。

第三步,利用开关S0~S7设置一个8位的数据,寄存在74LS244A,使开关S13(U244AOE)为低电平,使寄存在74LS244中的数据向总线输出。

L13反映S13的状态。

在总线上的数据可以通过L0~L7看到。

第四步,当S16、S17都为1时,控制74LS373从总线接收数据,寄存。

当当S16为1、S17为0时,控制74LS373中的数据送ALU的B端。

第五步,利用S8~S10的组合在8种计算中选择一个进行计算,选择表如下:(L8~L10反映S8~S10的状态,CIN 由开关S11确定,L11反映S11的值)计算结束后,运算结果F送74LS244B,L15反映向高位的进位COUT。

第六步,当S12为0时(L12反映S11的值),将74LS244B中的值送总线,一次运算结束。

三、计算机部件实验之运算器的VHDL描述library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-- Uncomment the following lines to use the declarations that are-- provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;entity yunsuan isport(--DB:inout std_logic_vector(7 downto 0);CI: inout std_logic_vector(15 downto 0);CO: in std_logic_vector(17 downto 0));end yunsuan;architecture Behavioral of yunsuan issignal U377Q:std_logic_vector(7 downto 0);signal U373Q,U244A,U244B:std_logic_vector(7 downto 0);signal DBB,DBX:std_logic_vector(7 downto 0);signal U377CLK,U377EN,U373GT,U373OE,U244AOE,U244BOE: std_logic;signal FA,FB,FF:std_logic_vector(8 downto 0);signal S:std_logic_vector(2 downto 0);signal CIN,COUT:std_logic;constant bz:std_logic_vector:="ZZZZZZZZ";-- sizeIt replicates a value to an array of specific length.Function sizeIt(a: std_Logic; len: integer) return std_logic_vector isvariable rep: std_logic_vector( len-1 downto 0);begin for i in rep'range loop rep(i) := a; end loop; return rep;end sizeIt;begin--Define 74377process (U377CLK) beginif (U377CLK'event and U377CLK='0') thenif (U377EN='0') then U377Q <= DBB;end if;end if;end process;--Define 74373U373Q <= DBB when (U373GT='1'and U373OE='0') else ("11111111" and U373Q);--define the ALUFA<= '0'&U377Q;FB<= '0'&U373Q;FF<= FA + CIN when S ="000" elseFA - CIN when S ="001" elseFA or FB when S ="010" elseFA and FB when S ="011" elseFA + FB + CIN when S ="100" elseFA - FB - CIN when S ="101" elseFA * FB when S ="110" elseFA xor FB when S ="111";COUT<=FF(8);U244B <= FF(7 downto 0);--Define three-state portsU244A <= CO(7 downto 0);DBX <= (SizeIt(U244AOE,8) and U244A) or (SizeIt(U244BOE,8) and U244B);CI(7 downto 0) <= DBX when ((U244AOE or U244BOE)='1') else bz;DBB <= CI(7 downto 0);--CI(7 downto 0) is the BUSCIN <= CO(11);U244BOE <= CO(12);U244AOE <= CO(13);U377EN <= CO(14);U377CLK <= not CO(15) or U377EN;U373OE <= CO(16);U373GT <= CO(17);S(2 downto 0) <= CO(10 downto 8);CI(14 downto 8) <= CO(14 downto 8);CI(15) <= COUT;end Behavioral;附录:一、图中使用的74LS244/74LS373/74LS377资料二、在图中使用的符号解释①开关S0~S7:在实验仪器上,对应实验仪器上芯片U3(XC2S150-PQ208)的引脚41~48,在VHDL中的符号是C0(0)~C0(7)。

运算器实验实验报告(计算机组成原理)

运算器实验实验报告(计算机组成原理)

运算器实验实验报告(计算机组成原理)西安财经学院信息学院《计算机组成原理》实验报告实验名称运算器实验实验室实验楼 418实验日期第一部分8 位算术逻辑运算实验一、实验目的 1、掌握算术逻辑运算器单元 ALU(74LS181)的工作原理。

2、掌握简单运算器的数据传送通路组成原理。

3、验证算术逻辑运算功能发生器 74LSl8l 的组合功能。

4、按给定数据,完成实验指导书中的算术/逻辑运算。

二、实验内容 1 、实验原理实验中所用的运算器数据通路如图 1-1 所示。

其中运算器由两片 74LS181以并/串形成 8 位字长的 ALU 构成。

运算器的输出经过一个三态门 74LS245(U33)到内部数据总线 BUSD0~D7 插座 BUS1~2 中的任一个(跳线器JA3 为高阻时为不接通),内部数据总线通过 LZD0~LZD7 显示灯显示;运算器的两个数据输入端分别由二个锁存器 74LS273(U29、U30)锁存,两个锁存器的输入并联后连至内部总线BUS,实验时通过 8 芯排线连至外部数据总线 E_D0~D7 插座E_J1~E_J3 中的任一个;参与运算的数据来自于 8 位数据开并KD0~KD7,并经过一三态门 74LS245(U51)直接连至外部数据总线 E_D0~E_D7,通过数据开关输入的数据由 LD0~LD7 显示。

图 1-1 中算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M 并行相连后连至 6 位功能开关,以手动方式用二进制开关 S3、S2、S1、S0、CN、M 来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号 LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关 LDDR1、LDDR2、ALUB、SWB 来模拟,这几个信号姓名学号班级年级指导教师李芳有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2 为高电平有效。

实验3运算器部件实验

实验3运算器部件实验

北京林业大学11学年—12学年第 2 学期计算机组成原理实验任务书专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕实验题目:实验三运算器部件实验实验环境:TEC-XP+教学实验系统、PC机实验内容1.脱机情况下,实现往寄存器中送数、加、减、与、或、非、异或、左移、右移的运算。

2.在脱机情况下,通过数据开关拨入参加运算的数据,通过微型开关提供操作运算器运行所必需的控制信号,通过信号指示灯观察运算结果。

3.联机情况下,通过教学计算机的指令实现脱机运算器实验完成的功能。

实验目的1.深入理解运算器芯片Am2901的功能和内部组成,运行中使用的控制信号及各自的控制作用;2.熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各数据位信号、各控制位信号的连接关系;3.明确教学计算机的运算器部件,使用总计23位的控制信号就完全确定了它的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关中的23位提供这些控制信号,教学计算机正常执行指令时(即运算器联机时),这些控制信号必须改由控制器部件来提供。

在两种方式下,每一位(组)的控制功能是完全相同的。

实验要求1.实验之前认真预习,明确实验的目的和具体实验内容,写出实验用到的数据和控制信号的取值,做好实验之前的必要准备。

2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果;3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。

4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。

善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。

实验1 运算器实验

实验1 运算器实验
7
表2 各种手控运算示例
压START前 运算 I8-I0 SST SSH SCi B A ALU 输出 *D1+0->R0 *D2+0->R1 R0+R1->R0 RO-R1->R0 R1-R0->R1 R0∨R1->R0 R0∧R1->R0 R0≮R1->R0 ┘(R0≮R1)->R0 2*R0->R0 R0/2->R0 011000111 011000111 011000001 011001001 011001001 011011001 011100001 011110001 011111001 111000011 101000011 001 001 001 001 001 001 001 001 001 001 001 0 0 0 0 0 0 0 0 0 0 0 00 00 00 01 01 00 00 00 00 00 00 0000 0001 0000 0000 0001 0001 0000 0000 0000 0000 0000 不用 不用 0001 0001 0000 0000 0001 0001 0001 不用 不用 0101 1010 1111 0101 0F0F 0F0F 0101 0E0E FEFE FEFE FDFC CZVS 压START后 ALU 输出 0101 1010 2121 F0F1 0E0E 0F0F 0101 0101 0E0E FDFC 7EFE CZVS
10
2、开关位置说明: 做脱机运算器实验时, 要用到提供 24 位控制信号的微动开关和提供 16位数据的拨动开关。 微动开关是红色的,一共有三个,一个微动开关可以提供 12 位的控制信号,三个开关分 别标有 SW1 micro switch 、SW2 micro switch 和SW3 micro switch ,他们对应的控制信号见

实验01 运算器组成实验

实验01 运算器组成实验

实验一运算器组成实验一、实验目的1、通过实验进一步熟悉运算器的组成结构。

2、通过实验理解多功能ALU的设计方法。

3、通过实验理解程序标志位的产生和管理方法。

二、实验步骤1、打开已有的实验工程目录:“ALU”。

通过双击目录中的QuartusII工程文件“4BitALU.qpf”,利用QuartusII软件打开已经建好的实验工程。

图1 打开QuartusII工程2、打开工程后,QuartusII软件的界面如图2所示。

在软件窗口的左边区域的“Project Navigator”列表栏中,选择“files”选项卡,我们可以看到列表栏中列出了这个工程中的设计文件。

本工程的设计文件说明在表1中列举出来。

表1 工程设计文件说明表设计文件说明对应组件文件DFFCE.vhd VHDL设计文件,设计一个带锁存信号的D触发器DFFCE.bsf4BitReg.bdf 使用DFFCE组件创建的4位寄存器,且带有锁存信号4BitReg.bsfFulladder.bdf 一位全加器Fulladder.bsf4BitAdder.bdf 由Fulladder组件创建的4位行波进位加法器4BitAdder.bsf4BitSuber.bdf 利用4BitAdder组件创建的4位减法器4BitSuber.bsf4BitAnder.bdf 4位与运算部件4BitAnder.bsf4BitOrer.bdf 4位或运算部件4BitOrer.bdf4Mux1.bdf 4选1选择器4Mux1.bsfJustALU.bdf 利用以上组件创建的多功能ALU,可以完成“加、减、与、或”运算JustALU.bsf4BitALU.bdf 运算器设计文件其中,设计文件“4BitALU.bdf”还没有完成,需要由实验者在原有设计基础上添加合理设计,完成运算器的功能。

图2 工程界面图3、如图3上所示,“运算器”构建在4BitALU的设计文件中,ALU与缓存数据的寄存器已经实现直连。

运算器实验-计算机组成原理

运算器实验-计算机组成原理

实验题目运算器实验一、算术逻辑运算器1.实验目的与要求:1.掌握算术逻辑运算器单元ALU(74LS181)的工作原理。

2.掌握简单运算器的数据传送通道。

3.验算由74LS181等组合逻辑电路组成的运算功能发生器运算功能。

4.能够按给定数据,完成实验指定的算术/逻辑运算。

2.实验方案:(一)实验方法与步骤1实验连线按书中图1-2在实验仪上接好线后,仔细检查正确与否,无误后才接通电源。

每次实验都要接一些线,先接线再开电源,这样可以避免烧坏实验仪。

2 用二进制数据开关分别向DR1寄存器和DR2寄存器置数。

3 通过总线输出寄存器DR1和DR2的内容。

(二)测试结果3.实验结果和数据处理:1)SW-B=0时有效,SW-B=1时无效,因其是低电平有效。

ALU-B=0时有效,ALU-B=1时无效,因其是低电平有效。

S3,S2,S1,S0高电平有效。

2)做算术运算和逻辑运算时应设以下各控制端:ALU-B SW-B S3 S2 S1 S0 M Cn DR1 DR23)输入三态门控制端SW-B和输出三态门控制端ALU-B不能同时为“0”状态,否则存在寄存器中的数据无法准确输出。

4)S3,S2,S1,S0是运算选择控制端,有它们决定运算器执行哪一种运算;M是算术逻辑运算选择,M=0时,执行算术运算,M=1时,执行逻辑运算;Cn是算术运算的进位控制端,Cn=0(低电平),表示有进位,运算时相当于在最低位上加进位1,Cn=1(高电平),表示无进位。

逻辑运算与进位无关;、ALU-B是输出三态门控制端,控制运算器的运算结果是否送到数据总线BUS上。

低电平有效。

SW-B是输入三态门的控制端,控制“INPUT DEVICE”中的8位数据开关D7~D0的数据是否送到数据总线BUS上。

低电平有效。

5)DR1、DR2置数完成后之所以要关闭控制端LDDR1、LDDR2是为了确保输入数据不会丢失。

6)A+B是逻辑运算,控制信号状态000101;A加B是算术运算,控制信号状态100101。

运算器组成实验1

运算器组成实验1

运算器组成实验(1)---计算机组成原理一、实验目的:1、在理解有关运算器基本知识基础上,掌握运算器的基本组成和工作原理。

2、熟悉ALU的工作原理,掌握四位ALU(74ls181)芯片运算功能和具体操作。

3、熟悉本实验中运算器的数据传送通路。

二、实验设备:JYS-3型计算机组成原理教学试验仪三、实验内容:了解运算器基本组成和工作原理,设计实验,完成电路图,验证电路逻辑功能。

四、电路工作原理与电路:运算器组成实验电路主要分两大部分:两片4位ALU和两片8D触发器构成的数据寄存器DR1、DR2,8位运算单元ALU的运算结果通过三态门缓冲器,经过ALU->BUS控制决定是否送往数据总线。

实验中参与运算的输入实验数据,在SW0->SW7设置开关量,经过另一个三态门缓冲器,在SW->BUS控制下送往总线,接电平显示灯,以及在LDDR1(或LDDR2)高电平时,在T4前沿时刻将总线上的数据打入DR1(或DR2)。

如下图是运算器组成原理图:五、实验步骤:1、按照下图连接电路线:2、复核检查无误后,开启电源。

将K22、K23置“11”,使电路处于单步状态运行,时序发生器处于单拍输出状态。

3、将K8、K9置“10”,关闭ALU输出端三态门缓冲器IC28,打开三态门缓冲器IC27。

4、K13-K20模拟开关,向寄存器送数据。

先将K6K7置“10”,设置开关为55H(01010101),按下P0按钮,将数据送入DR1。

然后K6K7置“01”,设置开关为AAH(10101010),按下P0按钮,将数据送入DR2。

5、然后将K8K9置“01”,将输出端三态门打开,并将其他都置低电平。

6、用K0-K3模拟S3-S0,K4模拟M,K5模拟Cn。

将K0-K5设置相应的高低电平,来验证下表的功能。

7、实验完成,拆线,整理试验箱。

六、实验总结:1、验证逻辑功能时,要将K13-K20开关全部置低电平,保证实验的正确性。

运算器组成原理实验报告

运算器组成原理实验报告

运算器组成原理实验报告运算器组成原理实验报告一、引言运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。

本实验旨在通过实际操作,深入了解运算器的组成原理和工作机制。

二、实验目的1. 理解运算器的基本概念和功能。

2. 掌握运算器的组成原理及其实际应用。

3. 学会使用逻辑门电路构建简单的运算器。

三、实验器材与步骤1. 实验器材:- 逻辑门电路芯片(如AND、OR、NOT等);- 运算器实验板;- 连接线等。

2. 实验步骤:1) 连接逻辑门电路芯片到运算器实验板上的相应位置。

2) 根据实验要求,设置逻辑门的输入信号。

3) 运行实验板,观察输出结果。

4) 记录实验数据,并进行分析。

四、实验原理运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。

这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。

1. 加法器加法器是运算器的基本组成部分,用于实现数字的加法运算。

它由多个逻辑门电路组成,其中包括半加器和全加器。

半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。

2. 减法器减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。

它通过将减法转化为加法运算来实现。

减法器的输入包括被减数、减数和借位,输出为差值。

3. 乘法器乘法器用于实现数字的乘法运算。

它通过多次的加法运算来实现乘法。

乘法器的输入包括被乘数和乘数,输出为积。

4. 除法器除法器用于实现数字的除法运算。

它通过多次的减法运算来实现除法。

除法器的输入包括被除数和除数,输出为商和余数。

五、实验结果与分析根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。

通过分析实验数据,我们得出了以下结论:1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。

2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。

在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。

(计算机组成原理)实验一运算器实验

(计算机组成原理)实验一运算器实验

D
红色:运算器控制信号
BUS UNIT
蓝色:器件中信号
运算器电路图
M
S3
当为减
S2
法算术
S1
运算时
S0
输出1
ALU TO BUS
D7-D0
ALU-B
B7 B6 B5 B4 B3 B2 B1 B0 +5
A7
A6
A74LS2455
A4
A3
A2
A1
DIR E
A0
+5 +5
ZI D SET Q
1K
Q
CLR
Ci
返回
CN+4 F3 F2 F1 F0
S3
S2
ALU(74LS181)
S1 S0
M
CN
A3 A2 A1 A0 B3 B2 B1 B0
F3 F2 F1 F0
S3
S2
ALU(74LS181)
S1 S0
M
CN+4
A3 A2 A1 A0 B3 B2 B1 B0CN
S3 S2 S1 S0 M
Cn181
DA1,DA2:两片74LS273
T4 T1 B-IR
I3-I0
寄存器 译码
B-R0
MA6 -MA0
B-R1 B-R2
B-R3
R0-B
R1-B
R2-B
MA6-MA0
R3-B
D6-D0
J1
I7-I2
T1 微地址锁存器 OE CLK Q6-Q0 CLR
|
J5
FZ
指令译码器
FC
INT
T4 KA
7
KB
Q6-Q0

运算器实验报告

运算器实验报告

运算器实验报告实验目的:通过设计和实现一个简单的运算器,掌握数字电路的基本原理和设计方法。

实验原理:运算器是计算机中的一个重要组成部分,用于实现各种算术运算和逻辑运算。

本实验将设计一个4位加法器,实现两个4位二进制数的相加操作。

实验器材:1. 电子实验箱2. 电工工具(例如:万用表、镊子、取针)3. 数字电路集成电路(例如:逻辑门电路、触发器等)4. 连接线5. 电源实验步骤:1. 准备工作:将实验箱中的电源接通,检查连接线和电路的接触是否良好。

2. 按照设计要求,连接各种逻辑门电路和触发器,组成一个4位加法器电路。

3. 将两个4位二进制数通过开关输入到运算器中。

4. 按下计算按钮,观察LED显示屏上的运算结果。

5. 验证运算结果的正确性,可以手动计算两个4位二进制数的和,与实验结果进行对比。

6. 关闭电源,拆除电路连接。

实验结果:经过多次实验,观察和验证运算结果,发现设计的运算器能够正确实现两个4位二进制数的相加操作。

实验分析:1. 通过本实验,我们深入了解了数字电路的基本原理和设计方法。

2. 通过手动计算与实验结果对比,验证了设计的运算器的正确性。

3. 实验过程中,我们发现一些可能的故障原因,例如连接线接触不良、电源故障等。

4. 在实验中,我们需要仔细操作,注意观察,以确保实验结果的准确性。

实验总结:通过本次实验,我们掌握了数字电路设计的基本方法和技巧,充分理解了数字电路的工作原理。

同时,通过验证实验结果,我们对设计的运算器的正确性进行了验证。

在今后的学习和实践中,我们将运用数字电路设计的知识,不断探索和创新,提高自身的实践应用能力。

运算器组成实验报告

运算器组成实验报告

计算机组成原理实验报告运算器组成实验专业:计算机科学与技术班级:计算机0902姓名:邵熹学号:U200914918实验搭档:孟凡跃一、实验目的1. 理解ALU 并行进位的工作原理和实现方法;2. 掌握溢出检测的原理和实现方法;3. 理解有符号数和无符号数运算的区别,4. 理解基于补码的加/减运算实现原理5. 熟悉运算器的数据传输通路。

6. 利用74181和74182以及适当的门电路和多路选择器设计一个运算,要求支持有符号数和无符号数运算,支持补码加/减运算,支持有符号数溢出检测等功能。

二、实验设备JZYL —Ⅱ型计算机组成原理实验仪一台。

三、实验任务及具体设计电路1. 利用74182设计8位并行进位电路,分析其进位特征;A 7-4B 7-4 S 3-0M A 3-0 B 3-0C n182181181F 7-4F 3-0GC nC n+xC nPGP2. 设计基于单符号位的溢出检测方法和实现电路要求能支持有符号数和无符号数加法运算的溢出检测。

选择适当的数据验证你所设计的电路的功能。

v=(xf+yf+sf)* (xf+yf+sf) v=1 有溢出 v=0无溢出 3. 对以上设计的电路增加适当的模块以支持减法功能求补逻辑:将B 0-B 7每位均与p 异或。

当p=1时,做减法,p=0时,做加法。

& + + 1 1 1 溢出检测灯VA7即XfB7即YfF7即Sf181181F 7-4F 3-0求补逻辑求补逻辑A 7-4A 3-0B 7-4 B 3-0运算指令P加减控制位四、系统的整体电路及引脚图有、无符号加: p=0,C n非=1,HLLH无符号减: p=0,C n非=0,LHHL有符号减: p=1,C n非=0,HLLH五、实验结果的记录与分析74181的功能表测试记录如下:P置0M置L S3-S0置LLLL 传送A:F=AM置L S3-S0置HLLH A加B:F=A加B测试数据:11010010加00101010=11111100M置L S3-S0置LHHL Cn非置0 A减B:F=A减B测试数据:11010010减11101011=11100111M置H S3-S0置HLHL 传送B:F=Bp置1 ,M置L ,S3-S0置HLLH:做有符号减法运算测试数据:10111011-10111111=11111100 无溢出测试数据:10111011-10001000=00111011 有溢出通过以上测试,实验结果与理论结果一致,说明实验成功。

计算机组成原理-运算器实验

计算机组成原理-运算器实验

实验一运算器实验计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU 中的运算器来完成,运算器也称作算术逻辑部件ALU。

首先安排基本运算器实验,了解运算器的基本结构。

1.1实验目的(1) 了解运算器的组成结构。

(2) 掌握运算器的工作原理。

1.2实验设备PC机一台,Digilent Nexys 4TM开发板,Xilinx Vivado开发套件。

1.3实验原理Digilent Nexys 4TM开发板的通用I/O设备电路图如图1.1所示:图1.1Digilent Nexys 4TM开发板的通用I/O设备电路图如上所示,Nexys4 DDR板包括2个三色LED,16个滑动开关,6个按钮开关,16个单体LED和1个数字-8的七段显示器。

为了防止粗心大意的短路(假如一个FPGA针脚分派到一个按钮开关或者滑动开关被粗心大意的定为输出时将发生短路)损害,按钮开关和滑动开关通过串联电阻连接到FPGA。

5个按钮开关分派到1个“+”信号的配置是瞬时开关,在正常情况下,这些瞬时开关不用时产生低信号输出,被压时产生高信号输出。

另一方面,“CPU RESET”红色按钮不用时产生高信号输出,被压时产生低信号输出。

“CPU RESET”按钮常常在EDK(嵌入式开发套件)设计中用于重置进程,但你也可以把它当为常用按钮开关使用。

滑动开关根据他们的位置产生固定的高或低信号输入。

16个单体高效LED通过330欧姆的电阻阳极连接到FPGA,所以当其各自I/O 针脚应用到逻辑高电压时他们应该是打开的。

不被用户访问的额外LED表示电源,FPGA编程状态和USB和以太网端口状态。

控制显示模块的七段显示器的原理图如图1.2所示:图1.2七段显示器原理图Nexys4 DDR板包含2个4位同阳极7段LED显示器,配置表现得像1个8位数字显示。

8位数字的每一个由分派在一个“数字8”图案中的7段组成,每段嵌入1个LED。

如图17所示,每段LED是单独发光,所以128种模式的任何一个可以通过使某些LED段发光和另外的不发光显示在一个数字上。

实验一运算器部件实验1

实验一运算器部件实验1

实验一运算器部件实验一.实验类型设计型实验二.实验目的1.掌握4 位算术逻辑单元74181,先行进位发生器74182的工作原理和使用方法。

2.掌握16 位串/并运算器的工作原理及设计方法。

三、实验要求1.用四片4 位并行算术逻辑运算单元74181、一片先行进位发生电路74182,组装一个组间进位并行/串行可变的16 位运算器(每组四位)。

2.验证集成电路74181、74182 的功能。

3.分别测试16 位运算器组间串行进位和并行进位情况下的最大进位延迟时间。

四、实验芯片介绍1.74181芯片2.74182芯片四、实验原理1.实现CLA电路的芯片设计CLA电路的主要功能是接收BCLA加法器的Gi和Pi以及初始进位信号Cin,从而同时产生各BCLA加法器的低位进位信号(C4、C8、C12)。

假设4片BCLA加法器的先行进为输出依次是P1G1、P2G2、P3G3、P4G4,那么:Cn+x=G1+P1Cin,Cn+y=G2+P2Cn+x=G2+G1P2+P1P2Cin,Cn+z=G3+P3Cn+y=G3+G2P3+G1P2P3+P1P2P3Cin,Cn+4=G4+P4Cn+z=G4+G3P4+G2P3P4+G1P2P3P4+P1P2P3P4Cin.现令P*=P1P2P3P4,G*=G4+G3P4+G2P3P4+G1P2P3P4,则Cn+4=G*+P*Cin.根据上述一系列进位产生公式,可以在Multisim 2001利用TTL工具箱中74系列提供的工具创建CLA电路,实现图如图2所示。

图2 先行进位逻辑电路仿真图其中,具有两个输入端的与门、或非门都是TTL工具箱中74系列提供的工具, 而具有3个输入端和4个输入端的与门、或非门的实现方法有多种,可由学生发挥自身创造力,自己提出解决方案。

此处是使用Multisim 2001中对已有元器件的编辑功能实现的,从双输入的与门和或非门编辑改造而来。

要对上述CLA电路进行封装,以便其可以作为一个芯片模块使用。

运算器部件试验(模拟器)

运算器部件试验(模拟器)

实验目的
• 深入了解AM2901 的功能和内部组成。 • 深入了解各个控制信号及作用 • 深入理解最低位的进位输入信号;最高、 最低位的移位输入信号;4个标志位
实验内容
• • • • • • • • R0 ← 34 R9 ← 78 R9 ← R9-R0 R0 ← R0+1 B R10← R0 R0逻辑左移 R9 ← R9^R9 Q ← R9
完成一பைடு நூலகம்运算
• A=00101100 • B=00110101 • 完成A*B • 完成B/A • 完整写出每一步操作。并记录
运算器部件脱机实验
技术说明
• 运算器是计算机硬件系统传统的5 大功能部 件之一,承担执行运算和暂存运算数据的 功能, • 由执行算术逻辑运算功能的ALU 线路、 • 暂存参加ALU 运算的数据中间运算结果的 通用寄存器组 • 支持乘除法运算的专用寄存器三个部分之 间通过多路选择器线路实现连接,从而构 成一个完整的运算器部件。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档