完整word版,EDA技术与VHDL(A卷答案)
eda技术与vhdl设计答案
eda技术与vhdl设计答案eda技术与vhdl设计答案【篇一:eda技术与vhdl复习练习题】/p> 一、填空题1、pld的中文含义是:________。
2、asic的中文含义是:________。
3、“与-或”结构的可编程逻辑器件主要由四部分构成:________、________、____________和____________。
4、可编程逻辑器件结构图中一般用“x”表示此编程单元为________。
6、可编程逻辑器件结构图中无任何标记表示此编程单元为________。
7、可编程逻辑器件按规模的大小一般分为________和_________。
8、低密度可编程逻辑器件的主要有________和_________。
9、gal器件________取代全部pal器件。
10、pal器件只能________次编程。
11、gal器件能________次编程。
12、gal器件________取代ttl器件。
13、gal器件采用________擦除。
14、pal和gal器件________在系统编程。
15、pal和gal器件需要使用________编程。
二、选择题1、可编程逻辑器件pld的基本结构形式是_______:a:与——与b:与——或c:或——与d:或——或2、可以多次编程的器件是_______:a:prom b:plac:pal d:gal3、pld器件未编程时_______:a:有逻辑功能 b:没有逻辑功能c:pal器件有逻辑功能d:gal 器件有逻辑功能 4、gal器件可以用擦除:a:普通光 b:紫外线c:红外线 d:电5、gal16v8器件的输出引脚最多有______:a:16b:4 c:8 d:206、pal16v8器件的输入引脚最多有_______:a:16 b:4 c:8 d:207、gal16v8不能取代_________:a:pal16v b:74ls138c:74ls373 d:isplsi1032e-70plcc848、gal16v8的_______不可编程:a:与阵列b:或阵列c:输出逻辑宏单元olmc d:a、b都三、判断题1、gal器件的输出逻辑宏单元olmc不能实现pal器件的所有输出形式。
EDA技术与VHDL程序开发基础教程课后答案(完整版)
1.8.1填空1.EDA的英文全称是Electronic Design Automation2.EDA技术经历了计算机辅助设计CAD阶段、计算机辅助工程设计CAE阶段、现代电子系统设计自动化EDA阶段三个发展阶段3. EDA技术的应用可概括为PCB设计、ASIC设计、CPLD/FPGA设计三个方向4.目前比较流行的主流厂家的EDA软件有Quartus II、ISE、ModelSim、ispLEVER5.常用的设计输入方式有原理图输入、文本输入、状态机输入6.常用的硬件描述语言有VHDL、Verilog7.逻辑综合后生成的网表文件为EDIF8.布局布线主要完成将综合器生成的网表文件转换成所需的下载文件9.时序仿真较功能仿真多考虑了器件的物理模型参数10.常用的第三方EDA工具软件有Synplify/Synplify Pro、Leonardo Spectrum1.8.2选择1.EDA技术发展历程的正确描述为(A)A CAD->CAE->EDAB EDA->CAD->CAEC EDA->CAE->CADD CAE->CAD->EDA2.Altera的第四代EDA集成开发环境为(C)A ModelsimB MUX+Plus IIC Quartus IID ISE3.下列EDA工具中,支持状态图输入方式的是(B)A Quartus IIB ISEC ispDesignEXPERTD Syplify Pro4.下列几种仿真中考虑了物理模型参数的仿真是(A)A 时序仿真B 功能仿真C 行为仿真D 逻辑仿真5.下列描述EDA工程设计流程正确的是(C)A输入->综合->布线->下载->仿真B布线->仿真->下载->输入->综合C输入->综合->布线->仿真->下载D输入->仿真->综合->布线->下载6.下列编程语言中不属于硬件描述语言的是(D)A VHDLB VerilogC ABELD PHP1.8.3问答1.结合本章学习的知识,简述什么是EDA技术?谈谈自己对EDA技术的认识?答:EDA(Electronic Design Automation)工程是现代电子信息工程领域中一门发展迅速的新技术。
EDA技术与VHDL期末考试试卷
一、单项选择题:(20分)1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。
DA .瘦IP B.固IP C.胖IP D.都不是2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。
DA. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。
3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。
A. FPGA全称为复杂可编程逻辑器件;B. FPGA是基于乘积项结构的可编程逻辑器件;C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。
4.进程中的信号赋值语句,其信号更新是___C____。
A. 按顺序完成;B. 比变量更快完成;C. 在进程的最后完成;D. 都不对。
5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。
BA. 器件外部特性;B. 器件的内部功能;C. 器件的综合约束;D. 器件外部特性与内部功能。
6.不完整的IF语句,其综合结果可实现________。
AA. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_________。
B①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法A. ①③⑤B. ②③④C. ②⑤⑥D. ①④⑥8.下列标识符中,__________是不合法的标识符。
(完整word版)EDA技术题库
(完整word版)EDA技术题库EDA技术题库⼀.填空题1. Verilog的基本设计单元是模块。
它是由两部分组成,⼀部分描述接⼝;另⼀部分描述逻辑功能,即定义输⼊是如何影响输出的。
2. ⽤assign描述的语句我们⼀般称之为组合逻辑,并且它们是属于并⾏语句,即于语句的书写次序⽆关。
⽽⽤always描述的语句我们⼀般称之为组合逻辑或时序逻辑,并且它们是属于串⾏语句,即于语句的书写有关。
3.在case语句中⾄少要有⼀条default语句。
4. 已知x=4’b1001,y=4’0110,则x的4位补码为4’b1111,⽽y的4位的补码为4’b0110 。
5. 两个进程之间是并⾏语句。
⽽在Always中的语句则是顺序语句。
6.综合是将⾼层次上描述的电⼦系统转换为低层次上描述的电⼦系统,以便于系统的具体硬件实现。
综合器是能⾃动将⾼层次的表述(系统级、⾏为级)转化为低层次的表述(门级、结构级)的计算机程序7. 设计输⼊的⽅式有原理图、硬件描述语⾔、状态图以及波形图。
8.按照仿真的电路描述级别的不同,HDL仿真器可以完成:系统级仿真,⾏为级仿真,RTL 级仿真,门级(时序)仿真。
按照仿真是否考虑硬件延时分类,可以分为:功能仿真和时序仿真。
仿真器可分为基于元件(逻辑门)仿真器和基于HDL语⾔的仿真器9. IP核是知识产权核或知识产权模块,在EDA技术中具有⼗分重要的地位。
半导体产业的IP定义为⽤于ASIC或FPGA中的预先设计好的电路功能模块。
IP分为软IP、固IP和硬IP。
10.可编程逻辑器件PLD是⼀种通过⽤户编程或配置实现所需逻辑功能的逻辑器件,也就是说⽤户可以根据⾃⼰的需求,通过EDA开发技术对其硬件结构和⼯作⽅式进⾏重构,重新设计其逻辑功能11.两种可编程逻辑结构是基于与-或阵列可编程结构(乘积项逻辑可编程结构)、基于SRAM 查找表的可编程逻辑结构12.PLD按集成度分类:简单PLD、复杂PLD;按结构分类:基于“与-或”阵列结构的器件、基于查找表结构的器件;从编程⼯艺上分类:熔丝型、反熔丝型、EPROM型、EEPROM型、SRAM 型、Flash型13.Verilog的端⼝模式有三种:输⼊端⼝、输出端⼝、双向端⼝,对应的端⼝定义关键词分别是:input、output、inout14.Verilog中常⽤有两种变量:寄存器型变量(⽤reg定义)、⽹线型变量(⽤wire定义)15.Verilog有两种赋值⽅式:阻塞式赋值(=)、⾮阻塞式赋值(<=)16.Verilog有四种循环语句:for语句、repeat语句、while语句、forever语句17.Verilog 的描述风格:RTL描述、数据流描述、⾏为描述、结构描述18.从状态机的信号输出⽅式上分,有Mealy型和Moore型两种状态机;从状态机的描述结构上分,有单过程状态机和多过程状态机;从状态机表达⽅式上分,有符号化状态机和确定状态编码的状态机;从状态机编码⽅式上分,有顺序编码状态机、⼀位热码编码状态机或其他编码⽅式状态机。
EDA卷参考答案
试题2009年~ 2010年第二学期课程名称:EDA技术及应用专业年级:考生学号:考生姓名:试卷类型:A卷■ B卷□ 考试方式: 开卷□ 闭卷■………………………………………………………………………………………………………仅供参考,电信0901、0902班版权所有一、填空(20×1分=20分)1 SOPC 英文:System on a Programmable Chip和中文:片上可编程系统。
2 VHDL英文:Very-High-Speed Integrated Circuit Hardware Description Language 和中文:高速硬件描述语言。
3 FPGA英文:Field-Programmable Gate Array 和中文:现场可编程门阵列。
4 A为实数类型的变量,A:=16#0E.04#E+2; --A的值为3588.00。
5 元件例化的作用为当前的设计实体引入一个新的低一级的设计层次由元件定义语句和元件例化语句两部分组成6 VHDL源程序的文件名应与实体名相同,文件类型是(后缀名).VHD,否则无法通过编译。
7 VHDL的数据对象包括变量、信号和常数,它们是用来存放各种类型数据的容器。
8 VHDL允许定义两种不同类型的数组,即限定性数组和非限定性数组。
9 标准逻辑位有九种定义值‘X’表示强未知;‘1’表示强1;‘U’表示未初始化;‘W’表示弱未知;‘Z’表示高阻态。
10 一般硬件描述语言可以在三个层次上进行电路描述其层次由高到低依次可分为行为级,RTL级和门电路级。
二、选择题(10×2分=20分)1 结构体中的语句是B,进程中的语句是。
A 顺序的,并行的;B并行的,顺序的;C 顺序的,顺序的;D 并行的,并行的。
2 进程中的信号赋值语句,其信号更新是_C___。
A 按顺序完成;B 比变量更快完成;C 在进程的最后完成;D 都不对。
EDA与VHDL知识点总结与期末考试试卷及答案
当今社会已经进入了信息社会,世界已经开始全面信息化、全球化。
所以,为了适应社会的发展,我们大学生必须首先牢固树立信息化、全球化的思想,积极参加培训学习,紧跟时代脉搏。
电子信息工程电子信息工程是一门应用计算机等现代化技术进行电子信息控制和信息处理的学科,主要研究信息的获取与处理,电子设备与信息系统的设计、开发、应用和集成。
现在,电子信息工程已经涵盖了社会的诸多方面,像电话交换局里怎么处理各种电话信号,手机是怎样传递我们的声音甚至图像的,周围的网络怎样传递数据,甚至信息化时代军队的信息传递中如何保密等都要涉及电子信息工程的应用技术。
通过在学校学习EDA技术,我觉得我收获很多,体会深刻。
具体想就有关电子信息技术的浅谈理解。
其实上课也是一门艺术,要上好一堂课,不仅要备课充分,组织语言、讲课也要充满激情,而且老师还要向我们、不同专业讲解那些深奥的电子信息知识。
老师也要使我们学生思路清晰,怎样表达才能让学生比较容易理解,我觉得老师您在这一点上真的很好。
而且老师最好能比较幽默,语调能够抑扬顿挫。
老师你让我们对电子信息知识的从完全陌生,到有一个基本了解。
似乎老师嘴一张,口一说,流利顺畅,使人听之甘之如饴,如坐春风。
在3节课下来,总觉得时间总在不知不觉就过完了。
一时感慨此乃真有文学之范,胸有成竹,俗话说有才、有墨才能在此讲台上吸引众多学生之眼。
而有时妙语一出,道理一摆更是令人为之一振奋。
难得碰到如此有才一老师也是福气了。
电子信息前源探索课很精彩,还记得在第一次课的时候老师以一种很普通、很平常的方式给我们讲有关于电子信息课,我们在在下面听得入神了。
而记得最深的就是老师的一番话语了。
他说,我知道,刚才我说的话让同学们听起来非常受用,甚至于激动万分,乃至到冲动,但是问题在这:相信很多人可以在这种气氛之下一时激动,而也绝对很多的同学在课堂上的激动冲动一到下课......人要走进知识宝库,是一辈子的事情,学习的过程不可能一蹴而就。
《VHDL语言与EDA技术》课程试卷答案
《VHDL语言与EDA技术》课程试卷(1)答案一、分析下列代码。
1、试分析,该代码描述的是什么功能电路?答:模8计数器2、试分析,该代码描述的是什么功能电路?答:四位二进制码输入,LED七段码显示电路若不写第24行代码,是否可以?说明理由。
答:不可以,否则输入0000-1001以外的数据时就无法继续执行代码。
3、试分析,在该代码中,第8行“f<=temp1 XOR temp2;”写在第九行“temp1<=a AND b; ”和第十行“temp2<=c OR d;”前面,这种书写顺序对功能的实现有没有影响?答:没有该代码中有一个错误,在第4行,此行语句应改为END exam;4、试分析,该代码描述的是什么功能电路?答:通用译码器第4句中的端口ena 是使能信号输入端,在此代码描述的电路中,ena为0还是为1时电路能有效完成期望功能?答:1此代码描述中,第11句和第12句中,x'high 代表的值是多少?答:7二、填空,补全下列代码。
1、output: OUT std_logic_vector( 7 downto 0) );(OTHERS=>' Z');2、q:OUT STD_LOGIC_VECTOR (1 DOWNTO 0));SIGNAL q_tmp: STD_LOGIC_VECTOR(1 DOWNTO 0);process( clk)IF(clk'event and clk=' 1 ')thenq_tmp <= ( others =>'0');end if;end process ;end rtl;三、设计程序,完成下列功能1、LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY fulladder ISPORT (a, b, cin: IN BIT;s, cout: OUT BIT);END fulladder;ARCHITECTURE rtl OF fulladder ISBEGINs<=a XOR b XOR cin;cout<=(a AND B) OR (a AND cin) OR (b AND cin);END rtl;2、LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY dff1 ISPORT(d, clk, rst: IN STD_LOGIC;q: OUT STD_LOGIC);END dff1;ARCHITECTURE behavior OF dff1 ISBEGINPROCESS (rst, clk)BEGINIF (rst='1') THENq<='0';ELSIF ( clk'EVENT AND clk='1' ) THENq<=d;END IF;END PROCESS;END behavior;四、简答题1、进程(process)内部的语句是一种顺序描述语句,其内部经常包括if,wait,case或loop语句。
(完整word版)EDA技术与VHDL考试试题
杭州电子科技大学 2005 年 EDA 技术与 VHDL 考试试题1. 大规模可编程器件主要有 FPGA 、CPLD 两类,下列对 CPLD 结构与工作原理的 描述中,正确的是 ________ 。
A. CPLD 是基于 查找表结构的可编程逻辑器件;B. CPLD 即是现场可编程逻辑器件的英文简称;C. 早期的 CPLD 是从 GAL 的结构扩展而来;D. 在 Xilinx 公司生产的器件中, XC9500 系列属 CPLD 结构;2. 综合是 EDA 设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化 成另一种表示的过程;在下面对综合的描述中, ______________ 是正确的。
A. 综合就是将电路的高级语言转化成低级的,可与 FPGA / CPLD 的基本结构相映射 的网表文件;B. 综合是纯软件的转换过程,与器件硬件结构无关;C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为强制综合。
D.综合可理解为, 将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的; 3. IP 核在EDA 技术和开发中具有十分重要的地位,IP 分软IP 、固IP 、硬IP ;下列所描述的 IP 核中,对于硬 IP 的正确描述为 ____________ 。
A. 提供用 VHDL 等硬件描述语言描述的功能块, 但不涉及实现该功能块的具体电路;B. 提供设计的最总产品 --- 模型库;C. 以网表文件的形式提交用户,完成了综合的功能块;D. 都不是。
4.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入 宀 ______________ 宀综合T 适配T ___________ 严 编程下载T 硬件测试。
① 功能仿真 ②时序仿真③逻辑综合 ④配置⑤引脚锁定A . ③ ①B. ⑤ ②C. ④ ⑤D. ①② 5. 下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的 ______ 。
《EDA技术与应用》A卷及答案
《EDA技术与应用》A卷及答案汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。
2.用EDA技术进行电子系统设计的目标是最终完成asic________ 的设计与实现。
3.可编程器件分为 fpga__ 和 _cpld______ 。
4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。
5.在VHDL语言中可以使用的数据类型有:_位____ 、__标准逻辑位__________、___布尔_____。
6.完整的条件语句将产生_组合_______ 电路,不完整的条件语句将产生 __时序______电路。
7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。
8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL设计当中。
9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __时序____ 仿真。
10.目前国际上较大的PLD器件制造公司有__altera________ 和___xilinx______ 公司。
二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?2、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。
5、写出五种以上的VHDL的预定义数据类型。
三、程序注解(20分,每空1分)library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能:____________________________signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ beginif (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。
EDA技术考试试题A及详细答案
EDA技术考试试题A及详细答案卷号:A 时间:120 分钟 2008 年6 月专业:电子信息工程学号:姓名:一、填空题(20分,每题2分)1、 VHDL语言通常包含实体,构造体,(),()和库五部分2、载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。
3、零件封装是指()。
4、 EDA技术也称(),是在()技术的基础上发展起来的计算机软件系统。
5、 MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图形方式、()和()输入设计文件,可以编译并形成各种能够下装到EPROM和各种ALTERA 器件的文件,还可以进行仿真以检验设计的准确性。
6、顺序描述语句中,()在MAX-PLUS中不被支持。
7、 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。
其中常数对应代表数字电路中的电源和接地等。
信号对应物理设计中的()。
8、 FPGA可分为两大类,分别是 SRAM-BASE和Anti-Fuse 设计时一般选用()。
9、 100mil=()mm,7.62mm=( )mil。
10、 PCB封装元件实际上就是()。
二、名词解释题(20分,每题4分)1 PLD/FPGA2 焊盘(Pad)3覆铜4 SOC5 自顶向下的/自下而上的设计方法三、选择题(15分,每题3分)1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是() A.PgUpB.TabC.Space barD.Esc2.Design/Options菜单中下列选项不属于开关选项的是:()A.Snap GridB.Hidden PinsC.Electrical GridD.Title block3.目前在我国常见的PLD生产厂家有XILINX、ALTERA、ACTEL、ATMEL、LATTIC、AMD和MICROCHIP等等,其中XILINX和ALTERA为两个主要生产厂,XILINX的产品为FPGA,ALTERA的产品称为CPLD,各有优缺点,但比较起来ALTERA的产品略有长处,下列说法不正确的是() A.ALTERA公司的产品价格稍微便宜B.对于SRAM结构的产品,ALTERA公司PLD的输出电流可达25MA,而XILINX 的FPGA只有16MAC.ALTERA公司的PLD延时时间可预测,弥补了FPGA的缺点D.XILINX公司的FOUNDATION软件使用简单但是不如ALTERA公司的开发软件MAX+PLUS功能全。
275713101 EDA及VHDL设计答案及评分参考
275713101 EDA及VHDL设计复习题参考参考答案一.单项选择题(每小题 1 分,共 20 分)1.A2.D3.C4.B5.D6.A7.A8.B9.D 10.A 11.A 12.C 13.C 14.B 15.B 16.A 17.D 18.D 19.B 20.A 21.A 22.B 23.C 24.C 25.B 26.B 27.A 28.C 29.B 30.B 31.D 32.B 33.A 34.C 35.A 36.B 37.A 38.A 39.B 40.B 41.B 42.C 43.C 44.B 45.C 46.C 47.A 48.B 49.C 50.A 51.B 52.B 53.B 54.D 55.C 56.C 57.A 58.A 59.C 60.B 61.C 62.C 63.C 64.D 65.A 66.D 67.D 68.C 69.B 70.C 71.C 72.D 73.D 74.B 75.B 76.D 77.C 78.D 79.C 80.A 81.D 82.C 83.D 84.D 85.A 86.A 87.D 88.B 89.B 90.B 91.A 92.C 93.A 94.D 95.B 96.B 97.C 98.A 99.B 100.B 101.D 102.B 103.D 104.C 105.A 106.B 107.C 108.A 109.B 110.C 111.C 112.C 113.A 114.B 115.B 116.A 117.B 118.B 119.D 120.B 121.C 122.A 123.D 124.C 125.B 126.C 127.C 128.D 129.C 130.A 131.A 132.B 133.B 134.D 135.A 136.C 137.A 138.C 139.D 140.B 141.D 142.D 143.D 144.A 145.D 146.D 147.C 148.C 149.A 150.A 151.C 152.A 153.C 154.B 155.D 156.C 157.B 158.B 159.A 160.B 二.判断题(每小题 1 分, 共 10 分)1.√2.×3.√4.×5.×6.√7.×8.×9.√ 10.×11.√ 12.× 13.√ 14.× 15.× 16.√ 17.× 18.√ 19.√ 20.×21.√ 22.× 23.√ 24.× 25.× 26.√ 27.× 28.× 29.√ 30.×31.√ 32.× 33.√ 34.× 35.× 36.√ 37.× 38.× 39.√ 40.×41.√ 42.× 43.√ 44.× 45.√ 46.√ 47.× 48.× 49.√ 50.×51.√ 52.× 53.√ 54.× 55.× 56.√ 57.× 58.× 59.√ 60.×61.√ 62.× 63.√ 64.× 65.× 66.√ 67.× 68.× 69.√ 70.×71.√ 72.× 73.√ 74.× 75.× 76.√ 77.× 78.× 79.√ 80.×三.填空(每小题1 分, 共 10 分)1.曲线方式 2.菜单 3.无源滤波器 4.受控开关5.线性扫描 6.瞬态分析 7.解调 8.层次性9.频率调制 10.波特图仪 11.正弦 12.开路13.分析窗口 14.无源器件 15.最坏情况分析 16.fs≥2f H17.低输出阻抗 18.箭头键 19.零 20.差分编、译码器21.无源器件 22.压控振荡器 23.起始时间 24.开路25.最大变化率 26.采样间隔 27.分析窗口 28.信宿29.温度扫描 30.B=f H−f L 31.噪声分析 32.正弦波33.白噪声 34.高电压增益 35.阻值 36.大小37.解调 38.蒙特卡罗分析 39.可调节 40.功率谱41.电压控制电压源 42.数字电路 43.模/数转换单元 44.特性阻抗45.极性 46.正电源 47.数学表达式 48.电容49.阻值 50.大小 51.结束行 52. PNP型53.谐振特性 54.汉明码 55.无穷大 56.方波57.模拟电路 58.直流电阻 59.运算放大器 60. N沟道61.直流 62. PMOS 63.二进制差分编/译码 64.正弦/周期性信号源库65.上拉电阻 66.可调节 67.基频 68. NPN型69.直流电阻 70.抽样定理 71.SystemView 72.P沟道73.理想状态 74.内部调制失真 75.高输入阻抗 76.直流工作点77.极-零点 78.抽样定理 79.系统窗口80.差分编、译码器四.简答题(每小题 5 分, 共 20 分)1. (1)验证电路方案设计的正确性 (1分)(2)电路特性的优化设计 (2分)(3)实现电路特性的模拟测试 (2分)2. 标题行、注释行、元件行、命令行、结束行(每个1分)3.利用反向击穿电流在较大范围内变化,而反向击穿电压基本不变的特性来实现稳压功能。
【免费下载】EDA技术—VHDL版期末试卷(含答案)
班级
学号
C.除了没有方向说明以外,信号与实体的端口概念是一致的
D.在进程中不能将变量列入敏感信号列表中
姓名 5.以下关于状态机的描述中正确的是 B
密封 线内 不得 答题
A.Moore 型状态机其输出是当前状态和所有输入的函数 //Mealy 型状态机其输出信号是当前状态和当前输入的函数
B.与 Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期 C.Mealy 型状态机其输出是当前状态的函数
第 2 页(共 11 页)
对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料电试力卷保相护互装作置用调与试相技互术关,系电,力根通保据过护生管高产线中工敷资艺设料高技试中术卷资,配料不置试仅技卷可术要以是求解指,决机对吊组电顶在气层进设配行备置继进不电行规保空范护载高与中带资负料荷试下卷高问总中题体资,配料而置试且时卷可,调保需控障要试各在验类最;管大对路限设习度备题内进到来行位确调。保整在机使管组其路高在敷中正设资常过料工程试况中卷下,安与要全过加,度强并工看且作护尽下关可都于能可管地以路缩正高小常中故工资障作料高;试中对卷资于连料继接试电管卷保口破护处坏进理范行高围整中,核资或对料者定试对值卷某,弯些审扁异核度常与固高校定中对盒资图位料纸置试,.卷编保工写护况复层进杂防行设腐自备跨动与接处装地理置线,高弯尤中曲其资半要料径避试标免卷高错调等误试,高方要中案求资,技料编术试写交5、卷重底电保要。气护设管设装备线备置高敷4、调动中设电试作资技气高,料术课中并试3中、件资且卷包管中料拒试含路调试绝验线敷试卷动方槽设技作案、技术,以管术来及架避系等免统多不启项必动方要方式高案,中;为资对解料整决试套高卷启中突动语然过文停程电机中气。高课因中件此资中,料管电试壁力卷薄高电、中气接资设口料备不试进严卷行等保调问护试题装工,置作合调并理试且利技进用术行管,过线要关敷求运设电行技力高术保中。护资线装料缆置试敷做卷设到技原准术则确指:灵导在活。分。对线对于盒于调处差试,动过当保程不护中同装高电置中压高资回中料路资试交料卷叉试技时卷术,调问应试题采技,用术作金是为属指调隔发试板电人进机员行一,隔变需开压要处器在理组事;在前同发掌一生握线内图槽部纸内故资,障料强时、电,设回需备路要制须进造同行厂时外家切部出断电具习源高题高中电中资源资料,料试线试卷缆卷试敷切验设除报完从告毕而与,采相要用关进高技行中术检资资查料料和试,检卷并测主且处要了理保解。护现装场置设。备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。
最新《EDA技术与应用》A卷及答案资料
汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。
2.用EDA技术进行电子系统设计的目标是最终完成 asic________ 的设计与实现。
3.可编程器件分为 fpga__ 和 _cpld______ 。
4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。
5.在VHDL语言中可以使用的数据类型有: _位____ 、 __标准逻辑位__________、___布尔_____。
6.完整的条件语句将产生 _组合_______ 电路,不完整的条件语句将产生 __时序______电路。
7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。
8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL设计当中。
9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __时序____ 仿真。
10.目前国际上较大的PLD器件制造公司有 __altera________ 和 ___xilinx______ 公司。
二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?2、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。
5、写出五种以上的VHDL的预定义数据类型。
三、程序注解(20分,每空1分)library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能: ____________________________signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ beginif (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。
《EDA技术与VHDL基础》课后习题答案
《EDA技术与VHDL基础》课后习题答案第一章EDA技术概述一、填空题1、电子设计自动化2、非常高速集成芯片硬件描述语言3、CAD、CAE、EDA4、原理图输入、状态图输入、文本输入5、VHDL、Verilog HDL6、硬件特性二、选择题1、A2、C3、A4、D5、C6、D7、A第二章可编程逻辑器件基础一、填空题1、PLD2、Altera公司、Xilinx公司、Lattice公司3、基于反熔丝编程的FPGA4、配置芯片二、选择题1、D2、C3、C4、D第三章VHDL程序初步——程序结构一、填空题1、结构、行为、功能、接口2、库和程序包、实体、结构体、配置3、实体名、类型表、端口表、实体说明部分4、结构体说明语句、功能语句5、端口的大小、实体中子元件的数目、实体的定时特性6、设计库7、元件、函数8、进程PROCESS、过程PROCEDURE9、顺序语句、并行语句二、选择题1、D2、C3、C4、B5、D6、B7、A8、C三、简答题2、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY nand_3in ISPORT(a,b,c:IN STD_LOGIC;y:OUT STD_LOGIC);END;ARCHITECTURE bhv OF nand_3in ISBEGINy<=NOT(a AND b AND c);END bhv;5、00006、11110111(247)第四章VHDL基础一、填空题1、顺序语句、并行语句2、跳出本次循环3、等待、信号发生变化时4、函数、过程5、值类属性、函数类属性、信号类属性、数据类型类属性、数据范围类属性6、程序调试、时序仿真7、子程序、子程序二、选择题1、B2、A3、A4、C5、B6、C7、D三、判断题1、√2、√3、√4、√5、×6、×四、简答题9、修改正确如下所示:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY count ISPORT(clk:IN BIT;q:OUT BIT_VECTOR(7 DOWNTO 0)); END count;ARCHITECTURE a OF count ISBEGINPROCESS(clk)IF clk'EVENT AND clk='1' THENq<=q+1;END PROCESS;END a;10、修改正确如下所示:…SIGNAL invalue:IN INTEGER RANGE 0 TO 15; SIGNAL outvalue:OUT STD_LOGIC;…CASE invalue ISWHEN 0=>outvalue<='1';WHEN 1=>outvalue<='0';WHEN OTHERS=>NULL;END CASE;…11、修改正确如下所示:ARCHITECTURE bhv OF com1 ISBEGINSIGNAL a,b,c:STD_LOGIC;pro1:PROCESS(clk)BEGINIF NOT (clk'EVENT AND clk='1') THENx<=a XOR b OR c;END IF;END PROCESS;END;12、(1) PROCESS(…) --本题中两条IF语句均为信号c进行可能赋值,VHDL语言不允许IF a=b THENc<=d;END IF;IF a=4 THENc<=d+1;END IF;END PROCESS;(2)ARCHITECTURE behave OF mux IS --同时为q进行多次可能赋值,VHDL语言不允许BEGINq<=i0 WHEN a='0' AND b='0' ELSE '0'; --WHEN ELSE语句语法错误q<=i1 WHEN a='0' AND b='1' ELSE '0';q<=i2 WHEN a='1' AND b='0' ELSE '0';q<=i3 WHEN a='1' AND b='1' ELSE '0';END behave;13、next1<=1101 WHEN (a='0' AND b='0') ELSEd WHEN a='0' ELSEc WHEN b='1' ELSE1011;15、(1)、STD_LOGIC_UNSIGNED(2)、GENERIC(3)、IN(4)、width-1(7)(5)、counter_n(6)、“00000000”(7)、clk’EVENT AND clk=’1’(8)、ELSIF(9)、END IF(10)、q<= count16、修改正确如下所示:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CNT10 ISPORT ( clk: IN STD_LOGIC;q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END CNT10;ARCHITECTURE bhv OF CNT10 ISSIGNAL q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (clk)BEGINIF RISING_EDGE(clk) begin –begin修改为THENIF q1 < 9 THEN --q1为STD_LOGIC数据类型,而9为整型不可直接比较q1 <= q1 + 1; -- q1为STD_LOGIC数据类型,而1为整型不可直接相加ELSEq1 <= (OTHERS => '0');END IF;END IF;END PROCESS;q <= q1;END bhv;17、使用IF语句实现LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux21 ISPORT(ain,bin,sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0);cout:OUT STD_LOGIC_VECTOR(1 DOWNTO 0));END;ARCHITECTURE bhv OF mux21 ISSIGNAL cout_tmp:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINPROCESS(ain,bin,sel)BEGINIF (sel="00") THEN cout_tmp<=ain OR bin;ELSIF (sel="01") THEN cout_tmp<=ain XOR bin;ELSIF (sel="10") THEN cout_tmp<=ain AND bin;ELSE cout_tmp<=ain NOR bin;END IF;END PROCESS;cout<=cout_tmp;END bhv;第五章QuartusⅡ集成开发软件初步一、填空题1、实体名2、FPGA、CPLD3、.vhd4、输入、综合、适配、仿真、下载5、RTL Viewer、Technology Map Viewer6、功能、参数含义、使用方法、硬件描述语言、模块参数设置7、mif、hex8、根目录二、选择题1、C2、D第七章有限状态机设计一、设计题1、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ztj ISPORT(clk,reset:IN STD_LOGIC;in_a:IN STD_LOGIC_VECTOR(1 DOWNTO 0);out_a:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END;ARCHITECTURE bhv OF ztj ISTYPE state IS(s0,s1,s2,s3); --用枚举类型定义状态,简单直观SIGNAL current_state,next_state:state; --定义存储现态和次态的信号BEGINp1:PROCESS(clk) --状态更新进程BEGINIF clk'EVENT AND clk='1' THENIF reset='1' THEN current_state<=s0;ELSE current_state<=next_state;END IF;END IF;END PROCESS;p2:PROCESS(current_state,in_a) --次态产生进程BEGINCASE current_state ISWHEN s0=>IF in_a/=”00” THEN next_state<=s1;ELSE next_state<=s0;END IF;WHEN s1=>IF in_a=/'”01” THEN next_state<=s2;ELSE next_state<=s1;END IF;WHEN s2=>IF in_a=”11” THEN next_state<=s0ELSE next_state<=s3;END IF;WHEN s3=>IF in_a/='11' THEN next_state<=s0;ELSE next_state<=s3;END IF;WHEN OTHERS=>NULL;END CASE;END PROCESS;p3:PROCESS(current_state)BEGINCASE current_state ISWHEN s0=>out_a<='”0101”;WHEN s1=>out_a<=”1000”;WHEN s2=>out_a<=”1100”;WHEN s3=>out_a<=”1101”;WHEN OTHERS=>NULL;END CASE;END PROCESS;END;2、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ztj ISPORT(clk,reset:IN STD_LOGIC;ina:IN STD_LOGIC_VECTOR(2 DOWNTO 0);outa:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END;ARCHITECTURE bhv OF ztj ISTYPE state IS(s0,s1,s2,s3); --用枚举类型定义状态,简单直观SIGNAL current_state,next_state:state;BEGINp1:PROCESS(clk) --状态更新进程BEGINIF clk'EVENT AND clk='1' THENIF reset='1' THEN current_state<=s0;ELSE current_state<=next_state;END IF;END IF;END PROCESS;p2:PROCESS(current_state,ina)BEGINCASE current_state ISWHEN s0=> IF ina =”101” THEN outa<=”0010”;ELSIF ina=”111” THEN outa <=”1100”;END IF;IF ina =”000” THEN next_state<=s1;ELSE next_state<=s0;END IF;WHEN s1=> outa<=”1001”;IF ina =”110” THEN next_state<=s2;ELSE next_state<=s1;END IF;WHEN s2=> outa<=”1111”;IF ina =”011” THEN next_stat e<=s1;ELSIF ina =”100” THEN next_state<=s2;ELSE next_state<=s3;END IF;WHEN s3=> IF ina =”101” THEN outa<=”1101”;ELSIF ina=”011” THEN outa <=”1100”;END IF;IF ina =”010” THEN next_state<=s0;ELSE next_state<=s1;END IF;WHEN OTHERS=>NULL;END CASE;END PROCESS;END;3、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ztj ISPORT(clk,reset:IN STD_LOGIC;ina:IN STD_LOGIC_VECTOR(1 DOWNTO 0);outa:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END;ARCHITECTURE bhv OF ztj ISTYPE state IS(s0,s1,s2,s3); --用枚举类型定义状态,简单直观SIGNAL state:istate;BEGINp1:PROCESS(clk)BEGINIF clk'EVENT AND clk='1' THENIF reset='1' THEN state<=s0;result<='0';ELSECASE state ISWHEN s0=>outa<=”0000”;IF ina=”00” THEN state<=s1;ELSE state<=s0;END IF;WHEN s1=> outa <=”0001”;IF ina=”01” THEN state<=s2;ELSE state<=s1;END IF;WHEN s2=> outa <=”1100”;IF ina=”11” THEN state<=s3;ELSE state<=s0;END IF;WHEN s3=> outa <=”1111”;IF ina=”00” THEN state<=s0;ELSE state<=s3;END IF;WHEN OTHERS=>NULL;END CASE;END IF;END IF;END PROCESS;END;第九章VHDL基本逻辑电路设计一、填空题1、输入信号、所处状态2、组合逻辑、时序逻辑3、触发器、14、D触发器、RS触发器、JK触发器、T触发器二、选择题1、A2、C。
EDA技术与VHDL(A卷答案)
4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是C。
A. FPGA是基于乘积项结构的可编程逻辑器件;B. FPGA是全称为复杂可编程逻辑器件;C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D. 在Altera公司生产的器件中,MAX3000系列属FPGA结构。
5.以下对于进程PROCESS的说法,正确的是: AA. 进程语句本身是并行语句B. 进程内部由一组并行语句来描述进程功能C. 进程之间可以通过变量进行通信D. 一个进程可以同时描述多个时钟信号的同步时序逻辑6.在VHDL语言中,下列对时钟上升沿检测描述中,错误的是C。
A. if clk’event and clk = ‘1’ thenB. if rising_edge(clk) thenC. if clk’event and clk = ‘0’ thenD. if not clk’stable and clk = ‘1’ then7.关于VHDL中的数字,请找出以下数字中数值最大的一个:BA. 2#1111_1110#B. 8#366#C. 10#169#D. 16#F#E18.下列标识符中,B是不合法的标识符。
A. State0B. 9moonC. Not_Ack_0D. signall9.下列语句中,不属于并行语句的是:DA.进程语句B.条件信号赋值语句C.元件例化语句D.IF语句10. 状态机编码方式中,其中 C 占用触发器较多,但其实现比较适合FPGA的应用A. 状态位直接输出型编码B. 顺序编码C. 一位热码编码D. 以上都不是1.在程序中存在两处错误,试指出,并说明理由:14行,TMP和A矢量位宽不一致19行,CASE语句缺少WHEN OTHERS语句处理剩余条件2.修改相应行的程序(如果是缺少语句请指出大致的行数):错误1 行号:9程序改为:SIGNAL TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);错误2 行号:29 程序改为:这行后添加when others => null;SEL COUT 00011011OTHERSA orB A xor B A nor B A and B “XX ”Begin Process (sel, ain, bin) Begin Case sel is when “00” => cout <= ain or bin; when “01” => cout <= ain xor bin; when “10” => cout <= ain and bin; when others => cout <= ain nor bin; End case; End process;End rtl;2. 看下面原理图,写出相应VHDL 描述(10分)DQDFFDQ DFFORyoutOUTPUTxinINPUTclkINPUTLIBARRY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY MYCIR IS PORT ( XIN, CLK : IN STD_LOGIC; YOUT : OUT STD_LOGIC); END MYCIR;ARCHITECTURE ONE OF MYCIR IS SIGNAL A, B, C; BEGIN B <= XIN OR A; PROCESS (CLK) BEGIN IF CLK’EVENT AND CLK = ‘1’ THEN A <= C; C <= B; END IF; END PROCESS; YOUT <= C; END ONE;六、综合题:(20分)(一)已知状态机状态图如图(a)所示;完成下列各题:1.试判断该状态机类型,并说明理由。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是C。
A. FPGA是基于乘积项结构的可编程逻辑器件;
B. FPGA是全称为复杂可编程逻辑器件;
C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;
D. 在Altera公司生产的器件中,MAX3000系列属FPGA结构。
5.以下对于进程PROCESS的说法,正确的是: A
A. 进程语句本身是并行语句
B. 进程内部由一组并行语句来描述进程功能
C. 进程之间可以通过变量进行通信
D. 一个进程可以同时描述多个时钟信号的同步时序逻辑
6.在VHDL语言中,下列对时钟上升沿检测描述中,错误的是C。
A. if clk’event and clk = ‘1’ then
B. if rising_edge(clk) then
C. if clk’event and clk = ‘0’ then
D. if not clk’stable and clk = ‘1’ then
7.关于VHDL中的数字,请找出以下数字中数值最大的一个:B
A. 2#1111_1110#
B. 8#366#
C. 10#169#
D. 16#F#E1
8.下列标识符中,B是不合法的标识符。
A. State0
B. 9moon
C. Not_Ack_0
D. signall
9.下列语句中,不属于并行语句的是:D
A.进程语句
B.条件信号赋值语句
C.元件例化语句
D.IF语句
10. 状态机编码方式中,其中 C 占用触发器较多,但其实现比较适合FPGA的应用
A. 状态位直接输出型编码
B. 顺序编码
C. 一位热码编码
D. 以上都不是
1.在程序中存在两处错误,试指出,并说明理由:
14行,TMP和A矢量位宽不一致
19行,CASE语句缺少WHEN OTHERS语句处理剩余条件
2.修改相应行的程序(如果是缺少语句请指出大致的行数):
错误1 行号:9程序改为:SIGNAL TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);错误2 行号:29 程序改为:这行后添加when others => null;
SEL COUT 00
011011OTHERS
A or
B A xor B A nor B A and B “XX ”
Begin Process (sel, ain, bin) Begin Case sel is when “00” => cout <= ain or bin; when “01” => cout <= ain xor bin; when “10” => cout <= ain and bin; when others => cout <= ain nor bin; End case; End process;
End rtl;
2. 看下面原理图,写出相应VHDL 描述(10分)
D
Q
DFF
D
Q DFF
OR
yout
OUTPUT
xin
INPUT
clk
INPUT
LIBARRY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; ENTITY MYCIR IS PORT ( XIN, CLK : IN STD_LOGIC; YOUT : OUT STD_LOGIC); END MYCIR;
ARCHITECTURE ONE OF MYCIR IS SIGNAL A, B, C; BEGIN B <= XIN OR A; PROCESS (CLK) BEGIN IF CLK’EVENT AND CLK = ‘1’ THEN A <= C; C <= B; END IF; END PROCESS; YOUT <= C; END ONE;
六、综合题:(20分)
(一)已知状态机状态图如图(a)所示;完成下列各题:
1.试判断该状态机类型,并说明理由。
(2分)
该状态机为moore型状态机,输出数据outa和输入ina没有直接逻辑关系
2. 根据状态图,写出对应于结构图(b),分别由主控组合进程和主控时序进程组成的VHDL 有限状态机描述。
(10分)
Library ieee;
Use ieee.std_logic_1164.all;
Entity mooreb is
Port (clk, reset : in std_logic;
Ina : in std_logic_vector (1 downto 0);
Outa : out std_logic_vector (3 downto 0) );
End mooreb;
Architecture one of mooreb is
Type ms_state is (st0, st1, st2, st3);
Signal c_st, n_st : ms_state;
Begin
Process (clk, reset)
Begin
If reset = ‘1’ then c_st <= st0;
Elsif clk’event and clk = ‘1’ then c_st <= n_st;
End if;
End process;
Process (c_st)
Begin
得分评阅人
Case c_st is
When st0 => if ina = “00” then n_st <= st0;
Else n_st <= st1;
End if;
Outa <= “0101”;
When st1 => if ina = “00” then n_st <= st1;
Else n_st <= st2;
End if;
Outa <= “1000”;
When st2 => if ina = “11” then n_st <= st0;
Else n_st <= st3;
End if;
Outa <= “1100”;
When st3 => if ina = “11” then n_st <= st3;
Else n_st <= st0;
End if;
Outa <= “1101”;
When others => n_st <= st0;
End case;
End process;
End one;
(二)已知一个简单的波形发生器的数字部分系统框图如下图所示
图中lcnt、lrom都是在QuartusII中使用MegaWizard调用的LPM模块,其VHDL描述中Entity部分分别如下:
ENTITY lcnt IS
PORT
( clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0));
END lcnt;
ENTITY lrom IS
PORT
( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0));
END lrom;
Library ieee;
Use ieee.std_logic_1164.all;
Entity mysg is
Port (clk : in std_logic;
To_da : out std_logic_vector (9 downto 0) );
End mysq;
Architecture one of mysq is
Signal addr : std_logic_vector (9 downto 0);
Component lcnt
Port (clock : in std_logic;
Q : out std_logic_vector (9 downto 0) );
End component;
Component lrom
Port (address : in std_logic_vector (9 downto 0);
Q : out std_logic_vector (9 downto 0) );
End component;
Begin
U1 : lcnt port map (clock => clk, q => addr);
U2 : lrom port map (address => addr, q => to_da);
End one;。