饮料自动售货机系统的分析与设计

合集下载

基于PLC的饮料自动售货机控制系统设计

基于PLC的饮料自动售货机控制系统设计

基于PLC的饮料自动售货机控制系统设计本文将介绍一种基于PLC的饮料自动售货机控制系统设计方案,该方案可实现准确的货品计数、货币识别和交易记录等功能,提高了自动售货机业务的竞争力和利润。

一、设备概述饮料自动售货机是一种非常便捷的自助购物设备,安装在各种公共场所,如办公室、学校、车站和商场等。

该设备使用PLC控制技术,实现自动售卖饮料、咖啡和小食品等功能,并提供货品计数、货币识别、交易记录和库存管理等功能。

二、控制系统设计该系统的控制器采用PLC(可编程逻辑控制器)控制器,用于控制饮料自动售货机的各种功能。

PLC控制器由以下部分组成:1. 控制器:PLC控制器是控制系统的核心部分,它控制整个系统的操作,并收集货币和交易数据。

2. 电源:电源用于为PLC控制器提供电力,以保证系统可靠运行。

3. 输入设备:控制器使用输入设备接收并处理客户的指令,如按键和扫描枪等。

4. 输出设备:输出设备用于向客户传递信息,如显示屏、取货口和货币输入口等。

5. 传感器:传感器用来检测货品状态(如库存量和货品位置),并向PLC控制器发送信息。

6. 交易控制器:交易控制器用于处理货品交易,包括货币识别、验钞、找零、交易记录等功能。

7. 库存控制器:库存控制器用于管理货品库存,包括货物加减和盘点等功能。

三、系统工作原理当客户选择购买饮料时,他们选择希望购买的饮料和货币的面额。

顾客付款后,控制器通过交易控制器检测货币面额,然后使用标准计算公式计算找零。

客户选择的饮料被送入取货口,同时控制器通过传感器更新库存状态。

交易数据还收集到控制器和用于报告的记录器。

四、优点和特点PLC控制的饮料自动售货机相对于传统的自动售货机具有多种优势和特点。

以下是一些优点:1. 提高效率:自动售货机减少了客户购物时浪费的时间,特别是在繁忙的班次和场所。

2. 准确性:PLC控制器可准确地识别货币和交易记录,避免了误差和交易纠纷。

3. 节省成本:自动售货机自动化操作,减少了人力成本和人力资源。

自动饮料售物机控制系统的设计

自动饮料售物机控制系统的设计
02
包括识别用户投币、选择饮料、取货等步骤,以及 如何处理用户取消操作或退款等异常情况。
03
自动饮料售物机应提供友好的人机界面,使用户能 够快速、方便地完成购买过程。
支付处理逻辑
01
支付处理逻辑是指自动饮料售物机如何处理用户的支付请求。
02
包括与支付系统对接、验证支付信息、处理支付成功或失败的
情况等步骤。
自动饮料售物机控 制系统的设计
目录
• 系统概述 • 硬件设计 • 软件设计 • 控制逻辑设计 • 安全设计 • 测试与调试
01
CATALOGUE
系统概述
设计目标
实现自动化售卖
保证商品质量
通过自动饮料售物机控制系统,能够 实现24小时无人值守的饮料售卖服务 ,提高售卖效率,降低人工成本。
系统应确保所售卖的饮料质量,防止 过期或劣质商品的销售,保障消费者 权益。
自动饮料售物机应支持多种支付方式,如现金、银行卡、移动
03
支付等,以满足不同用户的需求。
补货逻辑
1
补货逻辑是指自动饮料售物机如何进行补货和库 存管理。
2
包括监测库存量、自动下单、补货提醒等步骤, 以确保自动饮料售物机的正常运营。
3
自动饮料售物机应具备智能库存管理功能,以实 现快速、准确的补货和库存控制。
06
CATALOGUE
测试与调试
功能测试
功能测试
确保自动饮料售物机控制系统能够完成预设的功能, 如投币、出货、找零等。
异常处理测试
测试系统在异常情况下的表现,如投币后不出货、找 零错误等。
兼容性测试
测试系统在不同型号、品牌或配置的自动饮料售物机 上的兼容性。
压力测试

自动饮料售货机课程设计报告

自动饮料售货机课程设计报告

学生姓名:专业班级:指导教师:工作单位:题目: 自动售货饮料机控制电路的设计与实现初始条件:本设计既可以使用计数器、比较器、脉冲发生器等和必要的门电路等,也可以使用单片机系统构建。

用数码管、LED灯显示结果。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。

2、技术要求:1)售货机只接受5角和1元的硬币,可以用按钮代替硬币的投入。

投入硬币的总金额用两位数码管显示,最大投入金额为9.5元。

2)售货机可以自动出售3种饮料,售价分别是0.5元,1元和1.5元。

当顾客完成投币后,根据投入金额,各饮料对应的LED将亮起(如投入1元硬币,0.5元和1元饮料的LED亮起,表示可以购买0.5元或1元饮料)。

3)顾客根据自身需要按下各饮料对应的按钮,每按一次掉出一瓶饮料,两位数码管显示剩余金额,LED继续指示可购饮料的种类;4)顾客按下“找零”按钮将使机器发出找零信号,两位数码管清零。

5)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

3、查阅至少5篇参考文献。

按《武汉理工大学课程设计工作规范》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规范。

时间安排:1、 2013 年 5 月 16日,布置课设具体实施计划与课程设计报告格式的要求说明。

2、 2013 年 6 月 28 日至 2013 年 6 月 30 日,方案选择和电路设计。

3、 2013 年 7 月 1 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。

4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日摘要本文设计了一种以INTEL 公司的AT89C52单片机为核心的自动饮料售货机装置的控制系统,详细介绍了自动饮料售货机控制系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动饮料售货机控制系统的工作原理、系统设计、软件编程的原则和技巧。

基于PLC的饮料自动售货机系统设计课件

基于PLC的饮料自动售货机系统设计课件

名称 代 输出 号 编号
1角投票光 ST I0.1 汽水指示 HL Q0.0
电开关 1

1

5角投票光 ST I0.2 橙汁指示 HL Q0.1
电开关 2

2
1元投票光 ST I0.3 放汽水电 YV Q0.2
电开关 3
磁阀
1
汽水按钮 SB I0.4 放橙汁电 YV Q0.3
1
磁阀
2
饮料自动售货机系统
投入一角、五角、一元硬币时,I0.1、I0.2.I0.3闭合,产生脉冲输 入给M0.1、M0.2.M0.3
饮料自动售货机系统
( 五 ) 、饮料自动售货机系统程序设计
M0.1闭合时, 就将MW10中的数据和MW20中的数据相加并存入 MW20中。同理M0.2 闭合时, 就将MW12中的数据和MW20中的 数据相加并存入MW20中;M0.3闭合时, 就将MW14中的数据和 MW20中的数据相加并存入MW20中.
(四)、饮料自动售货机系统PLC控制接线 图:
饮料自动售货机系统
(四)、饮料自动售货机系统PLC控制接线 图:
饮料自动售货机系统
( 五 ) 、饮料自动售货机系统程序设计
上电初始化,此时将一、五、十分别存入到寄存器M10、M12. M14中。
饮料自动售货机系统
( 五 ) 、饮料自动售货机系统程序设计
饮料自动售货机系统
( 五 ) 、饮料自动售货机系统程序设计
汽水按钮和橙汁按钮, T0和T1为8S定时器.I0.4闭合, 汽水电磁 阀打开, 放出汽水; 同理, I0.5闭合, 橙汁电磁阀打开, 放出橙汁 。
饮料自动售货机系统
( 五 ) 、饮料自动售货机系统程序设计

自动售饮料机设计

自动售饮料机设计

现代电路与系统设计姓名:王保健学号:1200030052自动售饮料机设计1设计要求的提出和功能的构想[1][2]①该饮料机能识别0.5元和1.0元两种硬币;②售出3种不同价格的饮料,饮料价格分别为2.5元、3.0元和3.5元;③具有找零功能;④购买者能自主选择所购买的饮料;⑤饮料机在每卖出一次饮料后能自动复位。

因为饮料的价格最高为3.5元,所以设计饮料机最多可接受4.0元的硬币。

2分析设计要求并画出原始状态图该自动售饮料机设有一个投币孔,通过传感器来识别两种硬币,给出两个不同的信号。

在此用half_dollar和one_dollar分别表示投入0.5元和1.0元硬币后电路接收到的两个信号;三个饮料选择按键choose01表示选择价格为2.5元的饮料,choose10表示选择价格为3.0元的饮料,choose11表示选择价格为3.5元的饮料;rest表示复位按键;有2个输出口分别为饮料出口dispense和找零出口out1;用s0表示初始状态,s1表示投入0.5元硬币时的状态,s2表示投入1.0元硬币时的状态,s3表示投入1.5元硬币时的状态,s4表示投入2.0元时的状态;clk 表示时钟信号;机器最多接受的钱币为4.0元。

当投入的钱币到达2.5元或高于2.5元时机器处于开始出售饮料的状态。

当到达 2.5元时如果选择购买 2.5元的饮料(choose01)则系统给出一个饮料,即dispense为高电平一次。

如果投入的钱币到达3.0元并且选择购买2.5元的饮料则系统显示给出一个饮料并找出1枚0.5元的硬币,即dispense为高电平一次out1为高电平一次。

如果选择购买3.0元的饮料(choose10),则系统显示给出一个3.0元的饮料,即饮料输出信号dispense为高电平一次。

依次类推。

图1.1为本次设计所构想的状态图。

3程序设计根据上述对自动售饮料机逻辑状态的分析,编写程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stmch1 isport(clk , rst ,half_dollar ,one_dollar: in std_logic;choose :in std_logic_vector(1 downto 0);out1 ,dispense: out std_logic);end stmch1;architecture behave of stmch1 istype state_values is (s0 , s1 , s2 ,s3 ,s4,s5 ,s6 , s7); signal state , next_state: state_values;beginprocess (clk , rst)beginif rst = '1' thenstate <= s0;elsif (clk'event and clk='1') thenstate <= next_state;end if;end process;process (state , half_dollar ,one_dollar ,choose) beginout1 <= '0';dispense<='0';next_state <= s0;case state iswhen s0 =>if (half_dollar='1') thennext_state <= s1;elsif (one_dollar='1' )thennext_state <= s2;else next_state <= s0;end if;when s1 =>if (half_dollar='1') thennext_state <= s2;elsif( one_dollar='1') thennext_state <= s3;else next_state <= s1;end if;when s2 =>if (half_dollar='1') thennext_state <= s3;elsif( one_dollar='1') thennext_state <= s4;else next_state <= s2;end if;when s3 =>if (half_dollar='1') thennext_state <= s4;elsif( one_dollar='1') thennext_state<=s5;else next_state <= s3;end if;when s4 =>if (half_dollar='1') thenif(choose="01") thendispense<='1';else next_state <= s4;end if;elsif( one_dollar='1')thenif(choose="01") thendispense<='1';out1<='1';elsif (choose="10") thendispense<='1';end if;else next_state<=s4;end if;when s5 =>if (choose="01") then dispense<='1'; elsif (choose="10") thenif (half_dollar='1')thendispense<='1';elsif(one_dollar='1')thendispense<='1';out1<='1';end if;else next_state<=s5;end if;when s6 =>if (choose="01") thendispense<='1';out1<='1';elsif (choose="10") then dispense<='1'; elsif (choose="11") thenif (half_dollar='1')thendispense<='1'; elsif(one_dollar='1')thendispense<='1';out1<='1';end if;else next_state<=s6;end if;when s7=>if (choose="11") thendispense<='1';else next_state<=s7;end if;end case;end process;end behave;4 仿真波形分析根据投币信息和购买类型的不同组合,会产生很多种输出波形。

自动饮料售货机系统设计及实现课程设计 精品

自动饮料售货机系统设计及实现课程设计 精品

广东职业技术学院课程设计报告书课程名称:数据库系统设计设计题目:自动饮料售货机系统设计及实现系别:信息工程系摘要 (3)概要设计 (3)◆顾客模块 (3)1、顾客购买管理功能模块 (3)2、饮料供应管理 (3)◆普通管理员模块 (3)1、商品管理 (3)2、零钱补充管理 (3)3、商品补充管理 (3)4、取现管理 (4)5、销售记录管理 (4)6、售货机管理 (4)◆系统管理员管理 (4)◆E-R图 (4)各实体属性: (4)1、顾客模块 (5)2、普通管理员模块 (6)◆数据库表 (8)1、 (8)详细设计 (12)◆主界面——顾客专区 (12)◆查看价格管理 (14)◆管理员登录管理 (15)◆普通管理员主界面 (17)◆商品管理 (18)◆售货机管理 (19)◆销售记录 (20)◆各饮料销售情况汇总 (21)◆系统管理员主界面 (22)◆普通管理员管理 (23)◆系统管理员管理 (26)◆销售额汇总 (29)◆取现记录 (29)◆零钱补充记录 (30)◆商品补充记录 (31)◆普通管理员登陆记录 (33)◆系统管理员登陆记录 (34)程序设计总结 (35)◆开发过程的归纳和总结 (35)归纳: (35)总结: (35)◆所遇到的技术难点及解决办法 (35)致谢 (35)摘要自动售货机是一种可以给顾客选择商品而且不需要实际的人员操作的一种销售模式,顾客可以根据自动售货机上的商品最大限度的选择自己所需的商品。

顾客可以投入金额选择商品,然后自动售货机对其做出相应的判断,最后售出商品。

自动售货机分顾客模块、普通管理员模块和系统管理员模块。

顾客模块完成饮料的销售,管理员模块实现补充饮料、零钱,取现和统计分析等功能自动售货机分顾客需求管理、饮料供应系统管理、零钱供应系统管理、售货机管理、管理员管理、取现管理、销售记录管理等主要功能模块。

关键词:自动售货,顾客选择,自动找零概要设计◆顾客模块1、顾客购买管理功能模块当顾客投入其实际金额,机器显示货币金额,接下来顾客选择要购买的饮料,如果投币金额足够并且所购买的饮料存在,则提示用户在出口处取走饮料,同时找零.如果货币金额不足,则提示信息,如果所购饮料已经售完,显示售完信息。

饮料自动售货机系统设计说明书

饮料自动售货机系统设计说明书

饮料自动售货机系统设计摘要:设计一个饮料机,实现计算应找钱数,找币,以及销售量统计等多种功能。

1.引言自动售货机像磁卡、银行柜员机一样,以方便、新颖、文明、昼夜效劳等特点,成为兴旺国家不可缺少的便民配套设施。

如今的自动售货机可以为顾客提供多种效劳。

顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。

2.问题描述该设计模拟自动售货机的工作原理和方式,完成出售商品、接收钱币、找零钱等功能。

与系统交涉的人有两类:送货员和顾客。

送货员在每周固定时间查看售货机中的饮料数量和钱币数量情况,并根据方案适当添加饮料。

同时,送货员也可以根据需要通过重新初始化增加饮料的种类,或修改饮料的价格。

顾客根据需要选择饮料,投入钱币,并等待售货机的响应。

售货机〔所设计的系统〕所做的响应:如果售货机中没有该种饮料,则退回上一步,交易失败。

否则,如果售货机中有该种饮料,则判断顾客所投钱币是否足够,如果不够,则提示顾客交易失败,并退回上一步。

如果顾客所投的钱币够所购置的饮料,则售出饮料并询问是否继续购置。

如果不需购置则进展找币,返回初始界面。

当然,实际生活中的售货机可能有更加强大的功能和更完善的处理,这里只模拟一个售货机系统,所以只实现其核心原理,并练习和提高分析问题能力和编程能力。

问题模型如下图3.数据构造设计3.1类构造体说明采用了<iostream.h>和<string.h>建立了一个饮料机类class ylj{public:ylj(){count=0;}ylj(string a,double b){name=a;price=b;count=0;}void disp();void goumai();void gm();int count;string name;double price;int stock;};Count为销售量计数器,name为饮料名称,price为饮料价格,stock为饮料存货量。

饮料贩卖系统

饮料贩卖系统

饮料自动贩卖机本案例知识要点●数组的使用●类的设计和使用●类间调用一、案例需求1.案例描述本案例模拟饮料自动售卖机的销售过程。

顾客首先进行投币,机器显示投币金额。

接下来顾客选择要购买的饮料,如果投币金额足够并且所购饮料存在,则提示用户在出口处取走饮料,同时找零。

如果投币金额不足,显示提示信息。

如果所购饮料已经售完,显示售完信息。

2.案例效果图图13-1 饮料自动贩卖机案例效果图3.功能说明(1)只接受10元、5元、2元、1元和0.5元的纸币和硬币。

(2)顾客一次只能投入上述一种金额的纸币或硬币,当用户重复投入时货币金额累加。

(3)销售的饮料包括5种:可口可乐(2元)、百事可乐(2元)、橙汁(3元)、咖啡(5元)、纯净水(1.5元)。

(4)系统通过必要的提示信息,提示用户完成相应的操作。

(5)若顾客所购买的饮料已经售完,则进行提示并询问用户是否购买其它的饮料。

(6)完成一次售卖后,系统自动进行结算找零。

二、案例分析根据系统功能要求,首先设计处理钱币的类和商品信息类。

处理钱币的类主要完成与钱币相关的工作,如给顾客找零等过程。

商品信息类主要用来处理与商品相关的工作,如获得商品信息等操作。

还需要设计一个自动贩卖机类来实现饮料的售卖过程。

在这个类里面,将钱币类和商品信息类作为其数据成员。

同时定义了包含5个GoodsInfo对象的数组,负责保存饮料的三个信息:名称、价格和库存量,并且可以反馈这些信息。

案例需要用到类与类之间的一种关系:has-a 拥有关系,has-a关系是指一个对象包含另一个对象,即一个对象是另一个对象的成员。

三、案例设计1.类的设计根据上述的设计思想,设计了“MoneyCounter类”、“GoodsInfo 类”和“DrinkMachine 类”3个类。

(1)MoneyCounter类的设计图13-2 MoneyCounter类图●数据成员float input_money;用于记录顾客投币金额●函数成员MoneyCounter();构造函数,初始化顾客投币金额为0.00~MoneyCounter() {}析构函数void getmoney();提示顾客投币float money_from_buyer();返回投币金额void clear();清空,准备下一轮投币void return_money(float);返回找的零钱(2)GoodsInfo 类的设计图13-3 GoodsInfo类图●数据成员string name;用于记录饮料名称float price;用于记录饮料的单价int total;用于记录饮料的总库存数●函数成员GoodsInfo();构造函数,初始化饮料信息~GoodsInfo() {}析构函数void set_goods(string, float, int);设置每种饮料的属性:名称,价格,数量string goods_name();返回饮料的名称float goods_price();返回饮料的价格int goods_number();返回饮料的数量(3)DrinkMachine类的设计图13-4 DrinkMachine类图●数据成员MoneyCounter moneyctr;定义MoneyCounter的对象,实现投币、找零等功能GoodsInfo v_goods[5];定义GoodsInfo的对象,实现商品信息的维护,此处设计了5种饮料,详见该类的实现函数成员DrinkMachine();构造函数,初始化自动售货机中的商品信息~DrinkMachine()析构函数void showchoices();显示饮料选择信息void inputmoney();获取顾客投入钱币bool goodsitem(int);检查饮料状况void return_allmoney();返回钱数2.主程序设计在主函数中,首先定义了一个DrinkMachine类(自动售货机类)的对象dri,并未显式地定义MoneyCounter类和GoodsInfo类的对象。

自动饮料售货机

自动饮料售货机

自动饮料售货机标题:自动饮料售货机引言:自动饮料售货机是现代社会中常见并常用的自动化设备之一。

它通过先进的技术和创新的设计,为消费者提供方便快捷的饮料购买体验。

本文将深入探讨自动饮料售货机的工作原理、优点、应用领域以及未来发展趋势。

一、自动饮料售货机工作原理自动饮料售货机采用多种技术来实现自动化售卖饮料的功能。

首先,它通过引入电子支付系统,使得消费者可以用手机、信用卡等方式付款。

其次,售货机内部配备了电子识别装置,可以读取商品信息和价格,并与消费者的支付输入进行匹配。

最后,通过机械装置和电子控制系统,自动饮料售货机将所选饮料从库存中抓取,并将其推送到取货口,供消费者取用。

二、自动饮料售货机的优点1. 方便快捷:自动饮料售货机可以在任何时间和地点提供饮料服务,不受人员限制,大大提高了消费者的购买体验。

2. 多样选择:售货机内部可以存放多种类型的饮料,以满足不同消费者的口味需求,从矿泉水到碳酸饮料,从咖啡到果汁,应有尽有。

3. 实时监控:现代的自动饮料售货机通常都配备了远程监控系统,可以实时监测商品的库存情况、销售情况和设备状态,及时进行维护和补货。

4. 节省成本:自动饮料售货机不需要雇佣大量员工,可以减少人力成本,并且无需租赁昂贵的商铺,从而降低了运营成本。

三、自动饮料售货机的应用领域1. 公共场所:自动饮料售货机广泛应用于机场、火车站、地铁站、商场、学校、体育场馆等公共场所,为人们提供便利的购买渠道。

2. 办公室:自动饮料售货机也常见于办公室,解决了员工在工作时间无法外出购买饮料的问题,提高了工作效率。

3. 餐饮业:一些餐饮店和咖啡店也将自动饮料售货机作为补充设备,为顾客提供更多选择。

四、自动饮料售货机的未来发展趋势1. 智能化:未来的自动饮料售货机将更加智能化,配备人脸识别技术,通过消费者的个体信息,提供更加个性化的服务。

2. 环保性:为了减少使用塑料瓶的数量,未来的自动饮料售货机可能会推出可回收杯装产品,推动环保意识的增强。

饮料自动售货机系统的分析与设计说明

饮料自动售货机系统的分析与设计说明

饮料自动售货机系统的分析与设计1.系统的分析1.1 需求分析描述自动售货机像磁卡、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为便民配套设施。

如今的自动售货机可以为顾客提供多种服务。

顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。

自动售货机是一种无人售货系统。

售货时,顾客投入硬币,售货机进行真假币的检查,若是假币拒绝接收,若是有效硬币则进行累加。

售货机装有若干个含有相同价格货物的货物分配器。

顾客可以通过货物分配器选择货物,如果有被选货物,并且顾客支付的货币值不小于货物的价格,货物将被传送给顾客,同时余额返回到退币口。

如果货币值小于货物价格,则等待投币,此时如果顾客取消本次活动,那么之前所投的货币将从退币口中退出,返回给顾客。

1.2 系统功能结构图图1 体统功能结构图1.3 用例图图2 饮料自动售货系统用例图1.4 系统类图的建立系统的类图揭示了系统的整个结构。

分析时先产生问题域中的对象,再抽象出类。

在本系统中,类有:自动售货机、顾客、货币、货币累加器、货物、货物分配器、显示屏、取消按钮、选择按钮、事务、更新。

货币顾客图3 饮料自动售货系统类图1.5 动态模型的建立从系统模型的角度,静态模型定义了系统的结构和组成。

任何实际的系统都是活动的,都通过系统元素间的互动来实现系统。

面向对象的许多方法在建立动态模型时首先写各种情况时的交互行为脚本,通过对脚本的分析描绘动态模型。

脚本是用例的一次具体执行过程,一般包括正常情况脚本和异常情况脚本。

系统的卖货物用例中,顾客投入硬币,系统检查硬币的有效性,然后对有效硬币进行累加,顾客选择货物及其数量,系统送出货物;这系列步骤表示正常情况脚本。

异常脚本为:顾客投入有效硬币,然后选择货物,若货物量不够,系统将投入的硬币从退币口退出。

在UML中,可以利用序列图来表示脚本。

下面是卖货物用例的一次具体交互的序列图。

对象1图4 自动卖货物的顺序图由于本系统中,自动售货机本身的状态比较复杂,因此建立其状态图。

基于PLC的饮料自动售货机控制系统设计

基于PLC的饮料自动售货机控制系统设计

基于PLC的饮料自动售货机控制系统设计摘要:本文主要针对基于PLC的饮料自动售货机控制系统进行设计和开发。

通过分析饮料自动售货机的工作原理和功能需求,确定了系统的硬件和软件设计方案。

硬件设计包括选择PLC型号、传感器和执行部件,以及连接线路的设计。

软件设计包括系统的控制逻辑和用户界面设计。

通过实验验证系统的可靠性和准确性,提高了饮料自动售货机的使用体验和操作效率。

关键词:PLC;饮料自动售货机;控制系统;硬件设计;软件设计1.引言饮料自动售货机作为一种便捷的取款和购买饮料的方式,广泛应用于各个场所。

然而,传统的饮料自动售货机在控制系统方面存在一些问题,如响应速度慢、准确性低等。

为了解决这些问题,本文提出一种基于PLC的饮料自动售货机控制系统设计方案,旨在提高系统的可靠性和操作效率。

2.系统需求分析2.1饮料自动售货机的工作原理饮料自动售货机主要由货道、货道电机、推杆电机、传感器和控制系统等组成。

用户通过选择按钮选择饮料种类和数量,控制系统根据用户选择的信息控制货道电机和推杆电机,将相应的饮料推送到取饮料口。

传感器用来检测饮料的库存量和工作状态。

2.2系统功能需求基于以上对饮料自动售货机的工作原理的分析,系统需要具备以下功能:(1)根据用户选择的信息,控制货道电机和推杆电机的运行;(2)监测饮料的库存量,如果库存不足或没有库存,通知用户;(3)监测饮料机的工作状态,及时发现和解决故障。

3.硬件设计3.1PLC的选择根据系统的功能需求和可靠性要求,选择一款性能稳定、具有足够输入输出接口和高速运算能力的PLC。

3.2传感器和执行部件的选择根据系统的功能需求,选择合适的传感器和执行部件。

货道电机和推杆电机可以选择步进电机,传感器可以选择光电开关或接近开关。

3.3连接线路的设计根据传感器、执行部件和PLC的接口规格,设计连接线路并进行正确的接线。

确保信号的稳定传输和正确解析。

4.软件设计4.1控制逻辑的设计根据系统的功能需求,设计系统的控制逻辑。

基于PLC的饮料_自动售货机控制系统设计

基于PLC的饮料_自动售货机控制系统设计

基于PLC的饮料_自动售货机控制系统设计自动售货机是一种现代化的零售设备,广泛应用于商场、车站、机场等公共场所。

为了提高自动售货机的性能和可靠性,采用基于可编程逻辑控制器(PLC)的控制系统是一种有效的方式。

本文将基于PLC设计一个饮料自动售货机控制系统。

首先,我们需要确定系统的需求和功能。

饮料自动售货机通常具有以下功能:1.饮料选择:用户可以通过触摸屏或按键选择自己喜欢的饮料种类。

2.价格显示:自动售货机需要通过屏幕显示所选饮料的价格。

3.付款功能:用户可以通过现金、信用卡或移动支付等方式支付饮料费用。

4.出货功能:支付成功后,自动售货机会启动电机将选定的饮料从货架上取出,并将其送到出货口供用户取用。

5.存货管理:自动售货机需要监控饮料库存,并在饮料即将售罄时提示进行补货。

基于以上需求和功能,我们可以设计出一个基于PLC的饮料自动售货机控制系统。

首先,我们需要将PLC与触摸屏和出货电机等设备进行连接。

PLC负责接收用户的输入,控制出货电机的运行,并更新触摸屏上的显示信息。

同时,PLC还需要监测饮料库存量,并在低于一定阈值时发送信号给维护人员进行补货。

在控制程序方面,我们可以设计如下的流程:1.系统启动:PLC在启动时初始化系统参数,并通过触摸屏显示主界面。

2.饮料选择:用户可以通过触摸屏选择自己想要的饮料,在触摸屏上显示所选饮料的价格。

3.付款功能:用户可以选择现金、信用卡或移动支付等方式进行付款,在付款成功后触摸屏显示付款成功的信息。

4.出货功能:PLC接收到付款成功的信号后,启动出货电机将所选饮料从货架上取出,并送到出货口供用户取用。

5.存货管理:PLC监测饮料的库存量,并在库存低于一定阈值时发送信号给维护人员进行补货。

为了保证系统的稳定性和可靠性,我们可以在PLC程序中设置一些异常处理,如:检测到用户选择了无效饮料时,触摸屏显示错误信息;出货电机因故障无法运行时,自动售货机显示故障信息并终止交易。

基于U的饮料自动售货机系统设计

基于U的饮料自动售货机系统设计

基于U的饮料自动售货机系统设计饮料自动售货机是一种全天候24小时不间断提供饮料的设备,它的销售模式既快捷,又方便,为消费者提供了更好的购物体验。

本文将基于U的饮料自动售货机系统进行设计,并详细介绍其功能、工作原理和用户界面等方面的内容。

首先,U的饮料自动售货机系统应包括以下几个主要功能:1.饮料选择和购买:用户可以通过触摸屏或物理按键选择想要购买的饮料,系统会计算并显示价格,用户可以通过现金或刷卡等支付方式进行购买。

2.库存管理:系统会实时监测各种饮料的库存情况,当其中一种饮料的库存低于设定阈值时,系统会提醒工作人员及时补货。

3.故障处理:系统会监测自动售货机的硬件状态,如温度、电池电量等,一旦有异常情况发生,系统会自动报警并提示用户。

4.数据统计和分析:系统会收集每日的销售数据,并将其整理成报表,帮助商家分析饮料的销售状况,以便进行进一步的市场营销策略制定。

其次,基于U的饮料自动售货机系统的工作原理如下:1.用户选择购买的饮料后,系统会根据饮料的价格和用户的支付方式计算出应付金额。

2.用户通过现金或刷卡等支付方式进行支付,系统会验证支付信息的准确性。

3.一旦支付完成,系统会触发出货机构,将购买的饮料送到用户指定的出货口。

4.系统会相应地更新库存信息,并生成一张购物小票,提供给用户作为购买凭证。

5.如果出现故障或异常情况,系统会提供相应的故障处理选项,并将异常情况记录在系统日志中。

最后,基于U的饮料自动售货机系统的用户界面应具备以下几个特点:1.直观易用:用户界面应简洁明了,按钮和标识应清晰易懂,用户可以快速找到所需的功能。

2.人性化设计:用户界面应考虑到不同人群的使用需求,如老年人或身障人士,界面应支持辅助功能,如放大字体、语音导航等。

3.实时反馈:用户界面应有明显的反馈机制,用户可以清晰地看到自己的操作及系统的响应,确保操作的准确性。

4.安全性保障:用户界面中涉及到的支付环节应采用加密方式,确保用户的支付信息不被泄露。

饮料自动售货机控制系统设计课程设计

饮料自动售货机控制系统设计课程设计
3.3 系统硬件接线图…………………………………………8
第4章 软件设计…………………………………………………10
4.1 程序流程图………………………………………………10
4.2 梯形图……………………………………………………10
第5章 实验调试…………………………………………………14
第6章 总结………………………………………………………15
5.退币系统:
当购买完成后还有剩余,退币指示灯亮,按下退币按钮进行退币。
第3章 硬件电路设计
3.1 PLC类型选择
根据饮料自动售货机示意图,控制系统选用西门子S7-200系列的CPU 224 XP CN。其数字量I/O点数为14入/10出,可以满足控制要求且留有充足的裕量。
4、当橙汁按钮指示灯亮时,按放橙汁按钮,则排出橙汁,8 s后,自动停止且橙汁指示灯熄灭。
5、若投入硬币总值超过按钮所需的钱数(汽水2元,橙汁3元)时,找钱指示灯亮,并找出多余的钱。
二.设计任务
1.设计和绘制电气控制原理图或PLC I/O接线图、功能表图和梯形图,编写指令程序清单。
2.选择电气元件,编制电气元件明细表。
饮料自动售货机有两种已经配制好的饮料储液桶,一种为汽水,另一种为橙汁,分别由两个电磁阀控制放入杯中的饮料品种。
1、自动售货机可投入1角、5角、1元的硬币。
2、当投入的硬币总值超过2元时,汽水指示灯亮;当投入的硬币总值超过3元时,汽水及橙汁指示灯亮。
3、当汽水指示灯亮时,按放汽水按钮,则排出汽水,8 s后,自动停止,且汽水指示灯熄灭。
2.所绘制的设计图纸符合国家标准局颁布的GB4728-84《电气图用图形符号》、GB6988-87《电气制图》和GB7159-87《电气技术中的文字符号制定通则》的有关规定。

基于的饮料自动售货机控制系统设计

基于的饮料自动售货机控制系统设计

基于的饮料自动售货机控制系统设计1. 引言饮料自动售货机是一种常见的自动售货设备,它能够在无人值守的情况下提供饮料的销售服务。

为了实现饮料自动售货机的正常运行和管理,需要设计一个可靠、高效的自动售货机控制系统。

本文将介绍一种基于的饮料自动售货机控制系统的设计方案。

2. 总体设计2.1 硬件设计基于的饮料自动售货机控制系统的硬件设计需要包括以下关键组件:1.主控制模块:负责整个系统的控制和管理,通常采用单片机或嵌入式系统来实现;2.信号传感模块:用于检测饮料售货机的各种状态,如货道状态、硬币投币按钮的状态等;3.显示模块:用于显示售货机的运行状态、剩余库存等信息,可以采用LCD屏幕或LED显示屏;4.电源模块:为整个系统提供稳定的电源供给;5.通信模块:用于与后台管理系统进行数据交互,可以采用有线或无线通信方式。

2.2 软件设计基于的饮料自动售货机控制系统的软件设计需要考虑以下几个方面:1.系统初始化:在系统启动时,需要进行各个模块的初始化,包括设置各个IO口的功能和初始状态;2.状态监测:通过信号传感模块不断监测售货机的状态,如货道状态、硬币投币按钮的状态等;3.运行控制:根据售货机的状态,通过主控制模块进行相应的控制操作,如出货、找零等;4.数据交互:通过通信模块与后台管理系统进行数据交互,包括销售数据上传、商品信息下载等;5.异常处理:如果出现异常情况,如硬币不足、货道故障等,需要及时进行处理,并进行相应的提示和记录。

3. 功能设计3.1 销售功能基于的饮料自动售货机控制系统的核心功能之一是销售功能。

该功能需要实现以下几个子功能:1.饮料选择:用户可以通过售货机上的按键选择想要购买的饮料;2.支付方式:用户可以选择现金支付或刷卡支付;3.商品出货:在支付成功后,系统会控制相应的货道出货,将饮料交付给用户;4.找零功能:如果用户使用现金支付,并支付的金额超过了商品的价格,系统需要进行相应的找零操作。

自动饮料售货机课程设计报告

自动饮料售货机课程设计报告

自动饮料售货机课程设计报告自动饮料售货机课程设计报告一、前言随着科技的发展和生活水平的提高,越来越多的人们开始选择自动化的生活方式。

自动化设备为人们带来了更加便利、高效的生活体验,其中自动饮料售货机已经成为城市生活中不可或缺的一部分。

针对这个趋势,我们设计了一门与自动饮料售货机相关的课程——自动饮料售货机课程,这一课程不仅有助于培养学生对自动化设备的理解和善于操作,还能够促进学生的创新思维能力的培养。

这篇报告是我们自动饮料售货机课程设计的详细介绍。

二、课程目标和介绍自动饮料售货机课程致力于让学生掌握自动饮料售货机的原理和调试方法,提高学生的创新思维和操作技能。

通过学习本课程,学生将了解以下知识:1.认识自动饮料售货机:容积、结构、原理。

2.进行自动饮料售货机的编程和调试:编写程序、设置程序参数、事件设置、故障处理。

3.掌握基本硬件电路知识。

通过针对不同难度的实验环节来不断提高学生的实验操作能力和科学创新能力,让学生在课程中获得自主学习和自主探究的机会,培养学生的合作精神和创新思维。

三、课程设置和内容本课程设置如下,共计十五讲,每周三学时。

第一讲:自动饮料售货机的基本构造和原理学习目标:了解自动饮料售货机的基本构造和原理。

第二讲:自动饮料售货机的硬件电路学习目标:了解自动饮料售货机的硬件电路。

第三讲:调试前的准备学习目标:熟悉调试前的准备操作,了解售货机的组成和元器件的作用。

第四讲:调试过程学习目标:通过调试调整控制程序中的参数和控制规则,使售货机正常工作。

第五讲:程序设计之初步认知学习目标:学习和掌握程序设计中的基本思路和编写方法,并写出售货机基本控制程序。

第六讲:程序的编写学习目标:编写售货机的控制程序,从而实现售货机的自动智能管理。

第七讲:程序的调试和优化学习目标:调试编写好的程序,并优化程序。

第八讲:集成测试学习目标:学习和掌握常见的集成测试技术、工具和方法,并利用自动测试工具进行测试。

第九讲:系统测试学习目标:学习和掌握常见的系统测试技术、工具和方法,并利用自动测试工具进行测试。

饮料自动售货机(带C程序和设计报告)

饮料自动售货机(带C程序和设计报告)

(C++)作业姓名:夏亮亮学号:班级:电子二班设计报告(1)《需求分析》:本程序为饮料自动售货机的程序,能实现饮料的自动销售,能起到节约人力、减少成本的目的。

(2)《项目设计》:(3)《测试报告》:1、主界面:2、选择完成之后:饮料自动售货机果汁类汽水类茶类结账付款橙汁冰糖雪梨苹果汁完成雪碧可乐完成绿茶冰红茶完成钱款正确钱款错误3、付款有误画面:4、正确付款画面:源代码#include <iostream>using namespace std;void kaishi();void chalei(),qishui(),guozhi();int xuanzhe[3]={0};int xuanzhe_qishui[2]={0};int xuanzhe_chalei[2]={0};void kaishi(){cout <<" ********************************************"<<endl;cout <<" ***** *****"<<endl;cout <<" ***** 饮料自动售货机*****"<<endl;cout <<" ***** *****"<<endl;cout <<" ********************************************"<<endl;}void guozhi(){cout <<" 输入对应数字并按确定"<<endl;cout <<"---------------------------------------------------"<<endl;cout <<" ------- 1.橙汁(单价3元) 2.桃汁(单价元)--------"<<endl;cout <<" ------- 3.冰糖雪梨(单价4元) 4.完成----------------"<<endl;cout <<" ---------------------------------------------------"<<endl;}void qishui(){cout <<" 输入对应数字并按确定"<<endl;cout <<"---------------------------------------------------"<<endl;cout <<" ------- 1.雪碧(单价3元) 2.可乐(单价3元)----"<<endl;cout <<" ------- 3.完成 ------------"<<endl;cout <<"---------------------------------------------------"<<endl;}void chalei(){cout <<" 输入对应数字并按确定"<<endl;cout <<"---------------------------------------------------"<<endl;cout <<" ------- 1.绿茶(单价元) 2.冰红茶(单价3元)-----------"<<endl;cout <<" ------- 3.完成 ------------"<<endl;cout <<"---------------------------------------------------"<<endl;}class yinliao{public:yinliao();void xuanz_qishui();void xuanz_chalei();void shuqian();void add();void xuanz();void print();protected:int sum;."<<endl;cout <<" ----------------------------------------------"<<endl;cout <<" ------ 1.果汁类 2.汽水类 3.茶类 4.结账付款----"<<endl;cout <<" ----------------------------------------------"<<endl;cout <<" 输入对应数字并按确定"<<endl;cout <<" ";cin >> w;switch(w){case 1:guozhi();();break;case 2:qishui();();break;case 3:chalei();();break;case 4:();();goto fk;}}fk:();cout <<" ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^"<<endl;cout <<" ^^^^^ ^^^^^"<<endl;cout <<" ^^^^^ 欢迎再次光临!^^^^^"<<endl;cout <<" ^^^^^ ^^^^^"<<endl;cout <<" ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^"<<endl;system("pause");return 0;}。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

饮料自动售货机系统的分析与设计
1.系统的分析
1.1 需求分析描述
自动售货机像磁卡电话、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为便民配套设施。

如今的自动售货机可以为顾客提供多种服务。

顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。

自动售货机是一种无人售货系统。

售货时,顾客投入硬币,售货机进行真假币的检查,若是假币拒绝接收,若是有效硬币则进行累加。

售货机装有若干个含有相同价格货物的货物分配器。

顾客可以通过货物分配器选择货物,如果有被选货物,并且顾客支付的货币值不小于货物的价格,货物将被传送给顾客,同时余额返回到退币口。

如果货币值小于货物价格,则等待投币,此时如果顾客取消本次活动,那么之前所投的货币将从退币口中退出,返回给顾客。

1.2 系统功能结构图
图1 体统功能结构图
1.3 用例图
图2 饮料自动售货系统用例图
1.4 系统类图的建立
系统的类图揭示了系统的整个结构。

分析时先产生问题域中的对象,再抽象出类。

在本系统中,类有:自动售货机、顾客、货币、货币累加器、货物、货物分配器、显示屏、取消按钮、选择按钮、事务、更新。

货币顾客
图3 饮料自动售货系统类图
1.5 动态模型的建立
从系统模型的角度,静态模型定义了系统的结构和组成。

任何实际的系统都是活动的,都通过系统元素间的互动来实现系统。

面向对象的许多方法在建立动态模型时首先写各种情况时的交互行为脚本,通过对脚本的分析描绘动态模型。

脚本是用例的一次具体执行过程,一般包括正常情况脚本和异常情况脚本。

系统的卖货物用例中,顾客投入硬币,系统检查硬币的有效性,然后对有效硬币进行累加,顾客选择货物及其数量,系统送出货物;这系列步骤表示正常情况脚本。

异常脚本为:顾客投入有效硬币,然后选择货物,若货物量不够,系统将投入的硬币从退币口退出。

在UML中,可以利用序列图来表示脚本。

下面是卖货物用例的一次具体交互的序列图。

对象1
图4 自动卖货物的顺序图
由于本系统中,自动售货机本身的状态比较复杂,因此建立其状态图。

检查硬币
空闲
退币
送货处理
处理买货
检查余额
检查货物数量
货物选择
投入硬币
无效硬币
有效硬币
取消
余额>0余额=0
余额≥0
余额<0
缺货
选择货物
图5 饮料自动售货机状态图
2.系统的设计
2.1 数据库设计
图6 数据库图
数据库的描述:钱币有面值和数量两种属性,其中,面值是主属性。

送货员有用户名和密码两种属性,其中用户名是主属性,当添加新的送货员时,如果用户名已经存在,则会出错。

饮料有类型、数量和价格三种属性。

其中类型是主属性。

数据库中按名称存储各种饮料
若干。

送货员可以放置或取走若干钱币,也可以添加饮料。

2.2 用户界面设计:
图7 系统的欢迎界面
界面描述:用户选择任意一种身份,并确定,则会进入到相应的操作界面。

图8 送货员登陆界面
界面描述:送货员输入自己的用户名和密码,登陆成功之后则进入到送货员专区进行相应的操作。

图9 送货员功能区
界面描述:第一组中,送货员可以选择饮料,并可在右边的编辑框中输入饮料数量。

第二组中,送货员先要选择对钱币的具体操作类型,然后选中钱币面值,输入钱币数量,则可以完成对钱币的操作。

而第三组和第四组主要用来响应“查看按钮”和“查看钱币”,显示数据库中现存的饮料和钱币信息。

第五组中,送货员可以输入用户名和密码,进行添加新的送货员。

图10 顾客功能区
界面描述:顾客每次可以选中一种饮料,并投入钱币。

模拟投入钱币是用编辑框让顾客输入投入该种钱币的数量。

相关文档
最新文档