出租车计价器报告(共10篇)

合集下载

出租车计价器计 量 标 准 技 术 报 告

出租车计价器计 量 标 准 技 术 报 告

计量标准技术报告
计量标准名称出租汽车计价器检定装置
计量标准负责人Xxx
建标单位名称(公章)黑龙江xxxx
填写日期2015年8月31日
目录
一、建立计量标准的目的 (3)
二、计量标准的工作原理及其组成 (3)
三、计量标准器及主要配套设备 (4)
四、计量标准的主要技术指标 (5)
五、环境条件 (5)
六、计量标准的量值溯源和传递框图 (6)
七、计量标准的重复性试验 (7)
八、计量标准的稳定性考核 (8)
九、检定或校准结果的测量不确定度评定 (9)
十、检定或校准结果的验证 (12)
十一、结论 (13)
十二、附加说明 (13)。

毕业论文之出租车计价器调研报告

毕业论文之出租车计价器调研报告

毕业论文之出租车计价器调研报告1. 引言出租车是人们生活中常见的交通工具之一,而出租车计价器作为出租车行业的核心设备之一,直接关系到乘客乘坐出租车的费用计算以及司机的收入。

因此,对出租车计价器进行调研和分析,对于优化出租车行业管理和提升乘客体验具有重要意义。

本文旨在通过对出租车计价器的调研,了解其工作原理、现有问题以及可能的改进方案,为出租车计价器的研发和改进提供参考和指导。

2. 调研方法在进行出租车计价器的调研时,本文采用了以下方法:2.1 文献调研首先,通过查阅相关文献和研究论文,了解出租车计价器的工作原理、技术特点以及相关的研究成果。

通过对现有研究的综述和总结,能够更好地把握出租车计价器的发展历程和趋势。

2.2 实地观察其次,进行实地观察,调研不同地区和不同类型出租车的计价器使用情况和表现。

通过对出租车计价器的实际使用情况进行观察,可以发现实际存在的问题和隐患,为后续的改进提供参考。

2.3 采访调查最后,进行采访调查,与出租车司机和乘客进行面对面的沟通和交流。

通过与实际使用者的深度交流,可以了解到他们对当前出租车计价器的意见和建议,进而根据使用者需求进行改进设计。

3. 出租车计价器的工作原理出租车计价器是一种电子装置,通过对里程数和时间的测量,计算出乘客需要支付的车费。

它的工作原理主要包括以下几个方面:3.1 里程测量出租车计价器通过车辆的里程表,测量出租车行驶的里程数。

里程数可以通过车辆的轮胎转动次数来测量,同时也可以通过车辆的GPS定位来测量。

里程测量的准确性和精度直接影响到计价器的计费准确性。

3.2 时间测量除了里程测量,出租车计价器还需要对乘客乘坐的时间进行测量。

时间可以通过计时器来实现,也可以通过与车辆的时钟同步来获取。

时间测量的准确性和精度同样对计价器的计费准确性有重要影响。

3.3 计费规则根据不同的地区和国家的规定,出租车计价器需要按照一定的计费规则来计算乘客需要支付的车费。

2024年出租车计价器市场分析报告

2024年出租车计价器市场分析报告

2024年出租车计价器市场分析报告摘要本文旨在对出租车计价器市场进行深入分析,包括市场规模、竞争格局、发展趋势等方面。

通过对市场背景的了解和市场数据的分析,得出了以下结论:出租车计价器市场具有稳定增长的趋势,竞争激烈但存在机会。

以此为基础,本文进一步提出了一系列建议供从业者和投资者参考。

导言出租车计价器是出租车行业的重要装置,用于计算乘客乘车路程和时间,从而确定乘车费用。

随着城市的发展和出租车市场的规模扩大,出租车计价器市场也在不断壮大。

市场规模根据统计数据显示,出租车计价器市场在过去几年中保持了稳定的增长趋势。

市场规模从2016年的XX亿美元增长到2020年的XX亿美元,年复合增长率为XX%。

预计市场规模将在未来几年内继续增长,达到XX亿美元。

竞争格局出租车计价器市场竞争激烈,主要厂商包括XX、XX和XX等。

这些厂商凭借着先进的技术、优良的产品质量和广泛的市场渠道,在市场上占据了较大份额。

此外,还存在一些中小型厂商,在特定市场领域有一定的竞争力。

市场驱动因素出租车计价器市场的发展受到多种因素的驱动。

首先,城市化进程的加速使得出租车需求不断增长,进而推动了出租车计价器的需求。

其次,技术的不断进步和创新使得计价器功能更加智能化和人性化,进一步提高了用户体验。

此外,相关政策的支持和监管的规范也对市场的发展起到了积极作用。

市场挑战和机会出租车计价器市场面临一些挑战,如竞争压力、市场需求不确定性和技术升级等。

然而,市场也存在机会。

首先,随着城市交通拥堵问题的日益突出,对交通工具的智能化要求越来越高,这为出租车计价器市场提供了发展机会。

其次,对环保出行的追求也使得电动出租车的需求增加,进而带动了出租车计价器的发展。

发展趋势出租车计价器市场在未来几年内呈现出以下几个发展趋势。

首先,计价器的智能化和大数据应用将成为市场的主要发展方向,以满足乘客个性化需求和提供更精确的计费服务。

其次,计价器与其他智能终端设备的融合将进一步扩大市场的应用范围,如与手机支付、导航系统等的结合。

报告g2出租车计价器51单片机

报告g2出租车计价器51单片机

目录第一章设计背景及要求 (1)1.1绪论 (1)1.2设计内容及要求 (2)1.3 方案论证与比较 (2)1.3 系统设计框图 (4)第二章系统硬件电路设计 (5)2.1总体设计框图 (5)2.1 复位电路设计 (5)2.2 时钟电路设计 (6)2.3 HD8279键盘显示电路设计 (7)2.4 AT24C02 掉电存储单元的设计 (9)2.4 MCU硬件资源分配 (11)第三章系统软件设计 (13)3.1 软件设计思路 (13)3.2 系统主程序设计 (13)3.2.1主程序模块 (13)3.2.2定时中断服务程序 (15)3.2.3里程计数中断服务程序 (15)3.2.4 键盘服务程序 (16)3.3 程序实现 (16)第四章系统调试及分析 (18)4.1系统调试 (18)4.2 运行结果分析 (18)结论 (20)参考文献: (21)附录一:系统设计总体电路图 (22)附录二:系统软件设计源程序 (23)第一章设计背景及要求1.1绪论近些年来,随着单片机的发展,产品相当的繁多,单片微型机又称嵌入式控制器,是20世纪70年代中期发展起来的一种大规模的集成电路器件。

当单片机的应用不断地深入,新技术的发展也是层出不穷的。

这是一项新型的工程技术,其内涵也是随着单片机的发展而发展。

MCS-51系列的单片机是属于结构相当简单,但也是单片机中的典型,应用也相当的灵活。

51系列的单片机产品发展迅速,在国内外占有重要的地位。

出租车计价器从最初的只能进行简单的价格计算,发展到价格、距离独立计量并显示,可根据特殊情况设定相应的收费标准,能打印营运发票,可使用IC卡结算等的功能。

目前市场上的计价器越来越趋向于集成有多种功能。

例如,产品上提供数码显示及液晶屏显示器,在任何光照条件下均能清晰显示各种数据;可设置时距分显、等候收费、特殊状况下的附加收费,带有永久时钟,昼夜自动切换;能自动票据打印、税控信息存贮、税务和营运状况的查询及显示、语音提示和报价服务、IC卡管理;根据客户要求,可增加射频卡读写、电子货币使用管理、GPS定位车载通讯接口等,以及适合企事业单位的IC卡一卡通、内部车辆管理和配套的软硬件设计。

智能型出租车计价器实验报告

智能型出租车计价器实验报告

第一章绪论 (3)1.1 出租车计价器发展概况 (3)1.2 出租车行业的管理现状 (3)1.3 本章小结 (4)第二章技术指标 (4)2.1设计任务与要求 (4)2.1.1 计价功能 (4)2.1.2 显示功能 (4)2.1.3 刷卡功能 (5)2.1.4打印功能 (5)第三章系统方案的选择 (5)3.1.总体论证 (5)3.2方案内容 (5)3.2.1总体方案 (5)3.2.2系统组成 (6)3.2.3系统设计............................................... 错误!未定义书签。

3.2.4系统功能划分与指标分配 (7)第四章系统流程图 ..................................................... 错误!未定义书签。

第五章设计具体功能电路. (7)第六章总结 (7)出租车计价器的设计【摘要】出租车计价器是出租车运营收费的专用智能化仪表,随着电子技术的发展,出租车计价器的技术也在不断地进步和提高。

国内出租车计价器已经经历了4个阶段的发展。

从传统的全部由机械元件组成的机械式,到半电子式即用电子线路代替部分机械元器件的出租车计价器;再从集成电路式到目前的单片机系统涉及的出租车计价器。

采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。

【关键词】出租车计价器单片机控制第一章绪论1.1 出租车计价器发展概况出租车行业在我国是八十年代初兴起的一项新兴行业,随着出租车的产生,计价器也就应运而生。

但当时在全国还没有一家企业能够生产,因而那个时期的计价器是由台湾引进。

台湾是计价器的主要生产场地,目前全世界的计价器中有90%为台湾所生产。

现今我国生产计价器的企业有上百家,主要是集中在北京、上海、沈阳和广州。

我国第一家生产计价器的是重庆市起重机厂,最早的计价器全部采用机械齿轮构,只能完成简单的计程功能,可以说,早期的计价器就是个里程表。

简易出租车计价器实验报告

简易出租车计价器实验报告

西北工业大学课程设计报告题目:简易出租车计价器学院: 自动化学院班级: 09061102学生(学号): 刘嘉琦(**********)学生(学号):迪丽娜尔·阿不力米提(**********)日期: 2014 年 1 月摘要随着科技的不断发展,有越来越多的科学技术应用到了人们的日常生活中,也给人们的生活带来了很多方便,大家都见过出租车前面都放着一个待显示的计价器,那么它是怎么起到计价功能的呢,其实很简单,只要用现在所学的专业知识就可以自己动手做一个简易的出租车计价器,这里我们还特意加入了等车计价的功能。

在数字电路基础课中学到了好几种计数器芯片,利用这些计数芯片和相关的译码器就可以实现我们的简易计价器的制作。

用同步4位二进制计数器74LS161,四位数值比较器74LS85,二-五-十进制异步计数器74LS90等成该数字系统的设计思想和实现过程。

论述计程模块、计费模块等的设计方法与技巧。

通过该课程设计主要解决与我们平常生活关系密切的出租车计费的问题,且制作该设计所需要元器件的成本较低,适合学生对数字电路的实践所。

关键词:出租车计价器,二进制计数电路,异步计数器,逻辑门电路目录一、课程设计目的 (4)二、设计任务与要求 (4)三、方案设计与论证 (5)四、单元电路设计与参数计算 (7)五、电路的安装与调试 (7)六、遇到问题的解决方法 (8)七、结论与心得 (9)八、参考文献 (10)简易出租车计价器一、课程设计目的1.巩固和加深所学模拟电路基础和脉冲与数字电路基础等课程的基本知识,提高综合运用所学知识的能力;2.培养根据课题需要选用参考书、查阅手册、图表和文献资料的能力,提高独立解决工程实际问题的能力,提高将所学知识真正用于实践的能力,并在实践过程中对知识网络查漏补缺、检验、质疑、创新以加深认识、获得新知;3.通过设计方案的分析比较、设计计算、元件选择及电路安装调试等环节,初步掌握简单实用电路的工程设计方法;4.提高动手能力,掌握常用仪器设备的正确使用方法,学会对简单实用电路的实验调试和对整机指标的测试方法;5.了解与课题有关的电路以及元器件的工程技术规范,能按课程设计任务书的要求编写设计说明书,能正确反映设计和实验成果,能正确绘制电路固等。

毕业论文之出租车计价器调研报告

毕业论文之出租车计价器调研报告

调研报告汽车计价器是乘客与司机双方的交易准则,它是出租车行业进展的重要标志,是出租车中最重要的工具。

它关系着交易双方的利益。

具有良好性能的计价器不管是对宽敞出租车司机朋友依旧乘客来讲差不多上专门必要的。

因此,汽车计价器的研究也是十分有一个应用价值的。

随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注。

因此,出租车行业以低价高质的服务给人们带来了出行的享受。

然而总存在着买卖纠纷困扰着行业的进展。

而在出租车行业中解决这一矛盾的最好方法确实是改良计价器。

用更加精良的计价器来为乘客提供更加方便快捷的服务。

现在各大中都市出租车行业都已普及自动计价器,因此计价器技术的进展已成定局。

而部分小都市尚未普及,但随着都市建设日益加快,象征着都市面貌的出租车行业也将加速进展,计价器的普及也是毫无疑问的,因此以后汽车计价器的市场依旧十分有潜力的。

计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因此,具有良好性能的计价器对宽敞出租车司机朋友来讲是专门必要的。

我国在70年代开始出现出租车,但那时的计费系统大差不多上国外进口不但不够准确,价格还十分昂贵。

随着改革开放日益深入,出租车行业的进展势头已十分突出,国内各机械厂家纷纷推出国产计价器。

出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时刻自主变动单价等功能。

随着都市旅游业的进展,出租车行业已成为都市的窗口,象征着一个都市的文明程度。

采纳模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,关于模式的切换需要用到机械开关,机械开关时刻久了会造成接触不良,功能不易实现。

为此我们采纳了单片机进行设计,相对来讲功能强大,用较少的硬件和适当的软件相互配合能够专门容易的实现设计要求,且灵活性强,能够通过软件编程来完成更多的附加功能。

出租车计价器技术报告

出租车计价器技术报告

计量标准技术报告
计量标准名称出租车计价器检定装置建立计量计量标准单位烟台市计量所
计量标准负责人王建生
筹建起止日期2009年9月
说明
1、申请建立计量标准应填写《计量标准技术报告》。

计量标准考核合格后由申请单位存档。

2、《计量标准技术报告》由计量标准负责人填写。

3、《计量标准技术报告》用计算机打印或墨水笔填写,要求字迹工整清晰。

目录
一、计量标准的工作原理及其组成 (1)
二、选用的计量标准器及主要配套设备 (2)
三、计量标准的主要技术指标 (3)
四、环境条件 (3)
五、计量标准的量值溯源和传递框图 (4)
六、计量标准的测量重复性考核 (5)
七、计量标准的稳定性考核 (6)
八、测量不确定度评定 (7)
九、计量标准的测量不确定度验证 (9)
十、结论 (10)
十一、附加说明 (10)。

出租车计价器设计报告

出租车计价器设计报告

出租车计价器设计报告一、引言二、需求分析1.收费标准:根据国家和地方的规定,出租车费用通常包括起步价、里程费和时间费。

2.里程计算:计价器需要能够准确计算起点和终点之间的距离。

3.时间计算:计价器需要能够准确计算乘坐时间,以确定时间费用。

4.显示界面:计价器需要具备清晰易读的显示界面,能够显示当前的车费、里程和时间。

5.操作简便:计价器需要提供用户友好的操作界面,方便乘客和司机操作。

6.故障自检:计价器需要具备自动故障检测和报警功能,以保证正常工作。

三、设计方案1.硬件设计:(1)显示屏:使用液晶显示屏,具备高清显示和低功耗的特点,以确保清晰易读的显示界面。

(2)里程测量:采用GPS定位技术测量车辆的实时位置,并根据起点和终点的坐标计算里程。

(3)时间计算:计价器内置实时时钟,能够记录乘坐的时间,并根据时间段进行费用计算。

(4)按键:提供简单明了的按键,用于用户的选择和操作。

(5)故障检测:利用传感器检测故障情况,并在检测到故障时发出警报。

2.软件设计:(1)界面设计:设计直观简洁的用户界面,显示当前的车费、里程和时间,并提供用户选择的接口。

(2)公式计算:根据收费标准,设计相应的公式进行费用计算。

(3)自动故障检测:通过编程实现自动故障检测,并在检测到故障时,及时报警或提醒用户。

(4)数据存储:将计价器的数据存储在内部存储器中,便于后续的数据分析和管理。

四、实施方案1.硬件实施:(1)购买和组装计价器所需的硬件设备,如液晶显示屏、GPS定位模块、实时时钟等。

(2)开发或选择适合的电路板,将相关硬件设备连接起来,并进行测试和调试。

(3)将电路板和其他硬件设备安装在出租车中,确保稳定工作。

2.软件实施:(1)根据设计方案,开发计价器的软件程序,包括界面设计、公式计算、自动故障检测和数据存储等功能。

(2)将软件程序烧录到计价器的控制芯片中,并进行测试和调试。

(3)部署计价器的软件程序到所有出租车计价器上,以确保统一的功能和用户体验。

出租车计价器调试报告

出租车计价器调试报告

出租车计价器调试报告一、引言本调试报告旨在对出租车计价器进行调试,并对其功能进行验收。

出租车计价器是出租车行业中的重要设备,对于确保计价准确、客观公正至关重要。

因此,在出租车计价器投入正式使用之前,需要进行全面的调试和测试,确保其正常工作以及计价准确无误。

二、调试目标本次调试的目标是确认出租车计价器的各项功能是否正常,确保其满足法律法规以及相关部门的要求。

具体的调试目标包括:1.确认计价器的基础功能是否正常,如起步价、里程计价、时间计价等;2.验证计价器的计费准确性,比对计价器计费结果与实际行驶情况是否相符;3.确认计价器的信息显示是否清晰可读,包括计费金额、行驶里程等信息。

三、调试内容根据调试目标,我们对出租车计价器进行了以下几个方面的调试:1.功能测试:通过模拟行驶情况,验证计价器的基础功能是否正常,包括起步价、里程计价、时间计价等。

我们通过按下计价器上的按钮,并观察计价结果与期望结果是否一致,来判断计价器的功能是否正常。

2.计费准确性测试:在实际行驶情况下,通过与实际费用进行比对,验证计价器的计费准确性。

我们选择了不同里程和时间的出租车行程,并记录实际行驶情况下的费用,与计价器的计费结果进行比对。

通过比对计费结果,我们可以评估计价器的准确性。

3.数据显示测试:通过观察计价器上的显示信息,包括计费金额、里程等,来判断计价器的数据显示是否清晰可读。

我们对计价器上的数字显示进行了验证,并确保显示信息清晰可辨。

四、调试结果经过对计价器的调试和测试,我们得出以下结论:1.计价器的基础功能正常,包括起步价、里程计价、时间计价等功能都能够正常工作。

2.计价器的计费准确性高,与实际行驶情况下的费用相比,计价器的计费结果基本一致,误差在合理范围内。

3.计价器的数据显示清晰可读,数字显示清晰、不模糊,符合用户使用习惯。

五、结论与建议根据以上调试结果,我们得出以下结论与建议:1.出租车计价器的基础功能正常,可以投入正式使用。

出租车计价器实验报告(论文)

出租车计价器实验报告(论文)

课程设计课程设计名称:数字电子技术课程设计题目:出租车计价器设计学院:电子信息与自动化学院学生姓名:专业:电子信息工程学号:20176731指导教师:日期:2019年11月28日出租车计价器摘要:本文采用以计数器为核心,将行车里程、等候时间按相同的比价转换成脉冲信号,然后对这些脉冲进行计数的方法,实现对出租车的多功能计价,输出采用4段数码显示管,显示里程及价格。

该计价器通过74LS244和LOGICSTATE来控制起步价和单价的设置,用74LS160构成计数电路,555芯片产生秒脉冲信号,74LS283构成加法器电路,同时以与非门控制行驶和等候的状态切换,实现两种状态的切换,最后,做了复位清零的部分,通过逻辑开关进行控制,对锁存器清零,使得电路恢复初始状态,可以开始重新开始计算,本设计很好的体现了数字系统的设计思想,是一个非常实用的电路。

关键词:出租车,计数器,译码器,555,LED数码管Abstract:This paper uses the counter as the core, converts the mileage and waiting time into the pulse signal according to the same ratio price, and then counts these pulses to realize the multi-function pricing of the taxi, and the output adopts 4 sections digital display tube. Show mileage and price. The meter controls the setting of starting price and unit price through 74LS244 and LOGICSTATE. The counting circuit is composed of 74LS160, the 555 chip generates the second pulse signal, and the 74LS283 is used to construct the adder circuit. At the same time, it switches with the state of non-gate control driving and waiting. The two states are switched. Finally, the complex is done. The part of bit clearing is controlled by logic switch to clear the latch, which can restore the initial state of the circuit and start the calculation again. This design embodies the design idea of the digital system and is a very practical circuit.Keywords:Taxi, counter, decoder, 555 LED digital tube目录1 前言 (2)1.1 设计背景 (2)1.2 设计目标 (2)1.3 实施计划 (2)2 总体方案设计 (2)2.1 方案说明 (4)3 单元模块设计 (4)3.1 各单元模块功能介绍及电路设计 (4)3.1.1 起步价和单价模块设计 (4)3.1.2 里程计数模块设计 (5)3.1.3 候时计数模块设计 (6)3.1.4 加法器模块设计 (8)3.1.5 状态切换、秒脉冲、复位模块设计 (9)3.2 主要器件的介绍 (10)3.2.1 74LS273器件介绍 (10)3.2.2 74LS244器件介绍 (10)3.2.3 74LS283器件介绍 (11)3.2.4 7485器件介绍 (12)3.2.5 74LS160器件介绍 (12)3.2.6 74LS32器件介绍 (13)3.2.7 555芯片介绍 (13)4 系统调试 (14)4.1 调试环境 (14)4.2 硬件调试 (15)4.3 系统能实现的功能 (15)4.4 系统功能及指标参数分析 (15)5 结论 (16)6 总结与体会 (16)7 谢辞.............................................................................................................................. 错误!未定义书签。

出租车计价器实验报告

出租车计价器实验报告

大规模集成电路实验报告院系:电子工程学院班级:研2-093专业:电路与系统任课教师:任爱峰姓名:冀晓涛学号:0911120640出租车计价器的VHDL设计与仿真一、设计要求及主要技术指标(1)、设计要求1、设计一个出租车计价器。

2、该计价器的计费系统:行程3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟以1.5元计费。

3、并能显示行驶公里数、等待累计时间、总费用。

(2)、主要技术指标1、计价范围:0~999.9元计价分辨率:0.1元。

2、计程范围:0~99公里计程分辨率:1公里。

3、计时范围:0~59分计时分辨率:1分。

二、系统组成它由外部输入模块、FPGA模块、显示模块三部分组成。

三、模块设计(1)、分频模块1、分频模块对频率为240Hz的输入脉冲进行分频,2、得到的频率为16Hz,15Hz和1Hz的三种频率。

(2)、控制模块控制模块是系统的核心部分,对计价器的状态进行控制。

(3)、计量模块1、计价部分:行程3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟1.5元计费。

2、计时部分:计算乘客的等待累计时间。

计时器的量程为59分,满量程自动归零。

3、计程部分:计算乘客所行驶的公里数。

计程器的量程为99公里,满量程自动归零。

(4)、译码模块1、计费数据送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示。

2、计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示。

3、计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示。

(5)、显示模块1、计价数据在以百元、十元、元、角为单位对应的数码管上显示。

2、计时数据在以分为单位对应的数码管上显示。

3、计程数据在以公里为单位的数码管上显示显示。

四、程序设计与仿真出租车计价器电路符号(1)、出租车计价器VHDL程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min1,min0: out std_logic_vector(3 downto 0)); --等待时间end taxi;architecture behav of taxi issignal f_15,f_16,f_1:std_logic; --频率为15Hz,16Hz,1Hz的信号signal q_15:integer range 0 to 15; --分频器signal q_16:integer range 0 to 14; --分频器signal q_1:integer range 0 to 239; --分频器signal w:integer range 0 to 59; --秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --费用计数器signal k1,k0:std_logic_vector(3 downto 0); --公里计数器signal m1:std_logic_vector(2 downto 0); --分的十位计数器signal m0:std_logic_vector(3 downto 0); --分的个位计数器signal en1,en0,f:std_logic; --使能信号beginfeipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseif q_15=15 then q_15<=0;f_15<='1'; --此IF语句得到频率为15Hz的信号else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此IF语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此IF语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_15; --此IF语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end if;end process;process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsif stop='1' thenif w=59 then w<=0; --此IF语句完成等待计时if m0="1001" then m0<="0000"; --此IF语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1'; --此IF语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此IF语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此IF语句得到en0使能信号else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出end if;end process;process(f,start)beginif start='0' then c3<="0000";c2<="0001";c1<="0000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此IF语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process;end behav;(2)、程序仿真图注:1. 仿真图中秒跟分的关系为3进制,即w为2时就归0;2. 出租车总行驶5公里,等待累计时间为4分钟,总费用为16.2元。

关于市区出租车统一安装计价器相关情况的汇报

关于市区出租车统一安装计价器相关情况的汇报

关于市区出租车统一安装计价器工作进展情况的汇报市政府办公室收到北林区政府《关于为城区范围内出租车统一安装计价器有关事宜的请示》(北政发〔2011〕72号)后,按照王国俊副市长批示意见,积极与相关部门对接,充分征求部门意见,对市区出租车统一安装计价器工作进行了深入调研,现将有关情况汇报如下:一、市区出租车市场运价基本情况。

目前,我市城区共有出租车2932台,均未安装计价器,出租车运营过程中多是约定俗成定价或协议运价,由于出租车运价不规范,乘客和营运车辆之间关于计费的分歧争论不断,拒载现象时有发生。

随着我市城区规模不断扩大,市区出租车行驶距离逐渐增加,安装出租车计价器已势在必行。

为切实维护乘客和出租车业主的权益,规范出租车市场运营,2011年6月1日市政府第四次市长办公会议决定在城区范围内统一安装出租车计价器,并交由北林区政府具体负责。

二、市区出租车计价器安装工作进展情况。

按照第四次市长办公会议要求,北林区政府、市物价局监督管理局、市质量技术监督局、市交通运输局等单位做了大量的前期工作。

市物价局召开了绥化城区出租车运价标准听证会,拟定了基价里程、基本租价和计程租价(其中基价里程为2.5公里,基本租价为5.00元,计价里程普通型出租车和标准型出租车分别为1.60元∕公里和1.70元∕公里,每500米计价一次,不足500米按500米计算)。

市质量技术监督局已购置出租车计价器检定装置,对相关人员进行了培训,待申请省里建标后,即可开展工作。

市地税局向省地税局进行了汇报,并与省国税票证厂取得联系,做好了出租车统一发票的印刷准备。

北林区政府会同市交通局、市运管处就出租车计价器安装工作拿出了初步意见,并形成《关于为城区范围内出租车统一安装计价器有关事宜的请示》(北政发〔2011〕72号)上报市政府,待市政府同意后即可组织实施。

三、对市区出租车统一安装计价器工作的几点建议。

在综合北林区政府和各相关部门意见的基础上,针对市区统一安装出租车计价器的实际情况,特提出以下几点建议:(一)尽快确定出租车运价。

出租车计费器报告

出租车计费器报告

课程设计说明书课程:EDA技术基础题目:出租车计费器学生姓名:田伟正学号: 201256110131班级: (1)专业:电子信息科学与技术指导教师:贺慧勇2014年12月20日长沙理工大学课程设计任务书物理与电子科学学院电信专业 1201 班姓名田伟正课程名称 EDA技术基础题目出租车计费器同组设计者:糟怀明贺智勇赵亚杰洪敏杰设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分。

起步价为 6.0 元,2 公里之内按起步价计费,超过 2 公里,每公里增加 1.8 元,等待时间单价为每 1 分钟0.3 元。

用数码管显示总金额、总里程、等待时间(可键控交替显示)。

设汽车每前进十米里程传感器输出一个脉冲。

设计一个测试用模拟车速的脉冲源,模拟的车速可通过按键调整,范围为5km/h ~200km/h 发挥部分:(1)时钟,白天夜间计费模式(2)按长沙市出租车现行收费标准设计计费器工作计划(1)时间本课程设计安排2周时间: 2014.12.22 ~2015.1.2(2)进度安排第1周周一周二:查阅资料,拿出整体设计方案,划分模块;第1周周三至周五:各模块的设计、调试、验证。

第2周周三前完成项目整体调试和测试。

第2周周三周四文档写作整理第2周周五:答辩讨论指导教师:贺慧勇唐立军文勇军周晓萍唐俊龙2014年12月22 日教研室意见:同意。

教研室主任文勇军2014年12月26 日长沙理工大学课程设计成绩评定表学生姓名:田伟正学号: 201256110131 专业班级:电信1201 课程设计题目:出租车计费器评分项目要求分值得分学习态度学习态度认真,遵守纪律。

10设计方案调研充分,方案设计合理。

20工作量完成了任务书规定的工作量。

实际设计、调试效果好。

40设计报告完全符合撰写规范要求,结构严谨,逻辑性强,层次清晰,表述准确,文字流畅。

20答辩准备充分,概念清楚,能准确流利地回答各种问题。

10总分备注:成绩:指导教师:年月日目录1.设计任务 (1)2.设计方案 (1)3.各功能模块的原理及思路分析 (2)3.1分频模块 (2)3.2计量模块 (2)3.3计费模块 (3)3.4控制模块 (3)3.5显示模块 (4)3.6模拟出租车脉冲信号发生器 (4)3.7头文件 (5)4.个人模块(计量模块) (5)5.个人模块方案验证 (7)6.心得体会 (8)参考文献 (9)1.设计任务设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分。

最新设计报告-出租车计价器

最新设计报告-出租车计价器

目录设计任务…………………………………………………………………………设计要求基本要求…………………………………………………………………………发挥部分…………………………………………………………………………完成要求…………………………………………………………………………报告正文摘要及关键词……………………………………………………………………一、方案论证与比较方案比较方案一……………………………………………………………………………………方案二………………………………………………………………………………方案的确定………………………………………………………………………二、工作原理框图及电路设计工作原理…………………………………………………………………………单元(功能)电路分析……………………………………………………………控制部分…………………………………………………………………………功能面板示意图…………………………………………………………………特色功能…………………………………………………………………………三、程序框图主流程图…………………………………………………………………………计费状态图………………………………………………………………………时间设置…………………………………………………………………………计费设置…………………………………………………………………………四、测试方法及结果指标测试…………………………………………………………………………设计与测试使用仪器……………………………………………………………测试数据…………………………………………………………………………误差分析及措施…………………………………………………………………参考文献…………………………………………………………………………源程序……………………………………………………………………………英文摘要…………………………………………………………………………附录一设计任务设计并制作一台出租车计价器二设计要求1 基本要求(1)不同情况具有不同的计费标准■白天■晚上■途中等待(>10min开始收费)(2)能够进行手动修改单价(3)具有数据复位功能(4)I/O口分配的简易要求■距离检测使用霍尔开关A44E■白天/晚上收费标准使用转换开关■数据清零开关■单价的调整(最好使用“+”和“-”键来控制)(5)数据输出 (采用LCM103)■单价输出2位■路程输出2位■总金额输出3位(6)按键■启动计时开关■数据复位开关(清零)■白天/晚上转换开关2 发挥部分■能够在掉电的情况下存储单价等数据■能够显示当前的系统时间■语音播报数据信息三完成要求■8月7日到8日两天。

出租车计价器总结报告

出租车计价器总结报告

电气工程学院微机原理与接口技术课程设计设计题目:出租车计价器系统设计学号:11291092姓名:杨艳丽同组人:无指导教师:徐建军设计时间:2014.3.15-3.25 设计地点:电气学院实验中心微机原理课程设计成绩评定表姓名杨艳丽学号11291092课程设计题目:出租车计价器系统设计课程设计答辩或提问记录:成绩评定依据:课程设计预习报告及方案设计情况(20%):课程设计考勤情况(5%):电路焊接情况(15%)课程设计调试情况(40%):课程设计总结报告与答辩情况(20%):最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字:年月日微机原理与接口技术课程设计任务书学生姓名:杨艳丽指导教师:徐建军一、课程设计题目:出租车计价器系统设计二、课程设计要求1. 根据具体设计课题的技术指标和给定条件,独立进行方案论证和电路设计,要求概念清楚、方案合理、方法正确、步骤完整;2. 查阅有关参考资料和手册,并能正确选择有关元器件和参数,对设计方案进行仿真;3. 完成预习报告,报告中要有设计方案,设计电路图,还要有仿真结果;4. 进实验室进行电路调试,边调试边修正方案;5. 撰写课程设计报告——最终的电路图、调试过程中遇到的问题和解决问题的方法。

三、进度安排1.时间安排序号内容学时安排(天)1 方案论证和系统设计 3.14-3.152 完成电路仿真,写预习报告 3.16-3.173 电路调试 3.18-3.254 写设计总结报告与答辩 3.26-3.27合计13天设计调试地点:电气楼4102.执行要求微机原理与接口技术课程成绩80分以上的同学可以自拟题目,其余的同学都是指定题目。

,每组不得超过2人,要求学生在教师的指导下,独力完成所设计的详细电路(包括计算和器件选型)。

严禁抄袭,严禁两篇设计报告雷同。

摘要现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。

而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

出租车计价器报告(共 10 篇)课程设计说明书课程:EDA 技术基础题目:出租车计费器学班级专XX 年12 月20 日长沙理工大学课程设计任务书物理与电子科学学院电信专业 1201 班姓名田伟正课程名称 EDA 技术基础题目出租车计费器长沙理工大学课程设计成绩评定表目录1.设计任务. ......................................12.设计方案. ......................................13.各功能模块的原理及思路分析.....................分频模块. ...................................计量模块. ...................................2 计费模块. ...................................控制模块. ...................................显示模块. ...................................4 模拟出租车脉冲信号发生器....................4 头文件......................................54.个人模块. ..........................55.个人模块方案验证. ..............................76.心得体会. ......................................8 参考文献. . (9)1.设计任务设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分。

起步价为元,2 公里之内按起步价计费,超过 2 公里,每公里增加元,等待时间单价为每 1 分钟元。

用数码管显示总金额、总里程、等待时间。

设汽车每前进十米里程传感器输出一个脉冲。

设计一个测试用模拟车速的脉冲源,模拟的车速可通过按键调整,范围为 5km/h~200km/h 发挥部分:时钟,白天夜间计费模式按长沙市出租车现行收费标准设计计费器 2.设计方案根据设计要求,系统的输入信号有:系统时钟信号CLK,出租车启动信号 START,出租车每十米输出一个脉冲,等待信号 STOP,公里信号 FIN。

系统的输出信号有:总费用数C0-C3,行驶距离 K0-K1 和等待时间 M0-M1 等。

系统组成方框图如下所示,它由模拟出租车脉冲信号、分频模块、计量模块、计费模块、控制模块和显示模块六部分组成。

总流程图:暑期课程设计报告出租车计费器功能实现及拓展白登辉厉从予叶热斯力XX/7/16目录第 1 章第 2 章摘要. ..................................................... ..................................................... .............2 方案设计与对比. ..................................................... . (3)方案一. ..................................................... ..................................................... (3)方案二. ..................................................... ..................................................... (3)方案三...................................................... ..................................................... (3)方案四. ..................................................... ..................................................... (3)第 3 章硬件设计. ................................(来自:写论文网:). ..................................................... . (4)整体原理图. ..................................................... ..................................................... . (4)附加硬件构成. ..................................................... ..................................................... (4)第 4 章软件设计. ..................................................... ..................................................... (6)流程图. ..................................................... ..................................................... (6)关键代码及其功能. ..................................................... ...................................................7第 5 章第6 章总结. ..................................................... ..................................................... ...........18 参考文献. ..................................................... ..................................................... (19)第 1 章摘要出租车计价器是一种计量器具,用于测量出租持续时间及依据里程传感器传送的信号测量里程,并以测得的等待时间及里程为依据,计算并显示乘客出租车应付的费用。

计价器作为乘客与司机双方交易的公平保障,在出租车运营中起到重要的作用。

具有稳定性能的计价器对广大出租车司机和乘客来说都是很必要的。

考虑到本题的实用性,我们选择出租车计费器作为本次课程设计的题目。

出租车计价器最开始的只能显示路程,之后能够自主计费。

随着技术的进步,现在的计费器能实现自动打印发票、语音提示、按时间自主变动单价等功能。

本文中所设计的出租车计费器,基于单片机STC12C5A60S2 设计。

本设计采用 C 语言编程,在KeiluVision4 软件中编译,用 stc-isp-15xx 软件下载测试,得到了计费器仿真设计结果。

我们还尝试在已有电路板上增加硬件,软硬件结合,完成题目要求的扩展功能。

在文章之后的部分中我们会介绍我们方案的提出、对比及最后决定,软硬件的设计,部分关键的程序,及最后的总结。

第2 章方案设计与对比方案一实现题目中的所有基本功能,不添加额外的硬件设备。

以 STC12C5A60S2 为主控器,接收单片机上的旋转编码器生成的脉冲信号作为里程计数,每一个脉冲代表。

设定起步价和燃油附加费之和 15 元作为初始值,根据题目基础要求,在里程计数超过 3km 后增加里程费。

等待计时功能则用内部时钟完成。

使用 STLED316S 和相连的八段数码显示管,显示总费用、时间和里程。

其中数码管前四位显示总费用,后两位分时显示时间和路程。

利用外部中断,控制时间、路程的记录和显示。

方案二我们希望能在设计的程序中运用到单片机上自带的键盘,所以考虑不使用外部中断控制,而是用键盘控制里程计数和等待时间计数的切换。

但由于前期没有掌握键盘使用的方法,我们只得放弃这个想法,继续方案一。

方案三在经过初期的程序设计和实践之后,我们认为我们可以在完成所有基础要求的前提下,完成部分拓展要求。

我们希望能在设计中加入硬件部分,故我们选择扩展功能中的,用一个外部的信号源和传感器生成检测脉冲信号,代替电路板自带旋转编码器产生的脉冲。

同时我们还设计完成了要求,在里程超过 20km 之后加入返程补偿。

返程补偿部分并不复杂,只需要更改部分计算程序就可以实现此功能。

至于外部脉冲信号生成部分,我们制作了一个简单的外接电路。

将一个低功率电动机,和变阻元件、开关串联起来,用一个光敏传感器检测随电动机转动的光栅生成的信号,向单片机对应端口传输脉冲信号即可。

其中变阻器可用于调节里程增长速度,开关可控制计费模式。

方案四在前期的研究中,我们无法很好解处理使用键盘的难题,故无法完成键盘设置这个功能。

同时我们希望能通过键盘控制的方法,切换夜间与白天模式,这在我们一开始也是难以实现的。

但在之后的研究中,我们掌握了正确控制键盘的方法,故最终方案四在完成方案三的前提下,加入了日、夜模式切换和键盘设置的功能。

这样算来,除去拓展功能中的上位机由于时间关系无法完成外,我们完成了所有的设计任务。

第3 章硬件设计整体原理图本组按照实际使用的元件,设计了程序对应的电路图。

见附录。

附加硬件构成本次选题基础内容主要为软件设计,硬件设计的拓展要求为设计一个外部传感器,代替 EC12。

考虑到在实现设计功能的同时,还希望电路便于实现和控制。

我们采用了如图 1 所示的设计。

电路由一个连接光栅的电动机、光电传感器、开关和变阻器组成。

当开关打开时,电动机带动光栅转动。

对光电传感器进行遮光处理后,光电传感器即能产生脉冲信号。

这个信号的频率与接入的变阻器大小有关,调整变阻器大小可以输出不同频率的波形。

如图 2,3。

图 1 外部传感器在一开始我们尝试用发下来的电路板提供电源,后来发现由于功率不够,在接入外部传感器后,数码管无法正常显示,故之后我们只能用外接电池的方式给其供电。

出租车计价器系别:电气信息工程学院专业:电子科学与技术小组:成员:目录1前言. ..................................................... .32系统设计方案. (4)系统的设计需求. (4)系统的工作原理. (4)3系统硬件设计. (5)单片机介绍. (5)硬件组成. (8)4系统软件设计. (12)5仿真调试. (14)6结论. ................................................... 167 参考文献. (178)8 心得体会及致谢. ...........................................20 附录 A 设计总图............................................194 附录B 源程序. (205)1前言随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注[1]。

相关文档
最新文档