出租车计价器设计与实现.

合集下载

出租车计价器的设计与制作毕业设计

出租车计价器的设计与制作毕业设计

目录第一部分设计任务与调研 (2)第二部分设计说明 (4)第三部分设计成果 (15)第四部分结束语 (18)第五部分致谢 (19)第六部分参考文献 (20)第一部分设计任务与调研1. 绪论1.1课题背景20世纪80年代以前,广州出租汽车还没有安装计价器,每一趟接待任务结束后,司机会根据里程表来计算出车辆行走公里数,然后进行收费。

1979年后,在改革开放大潮的推动下,广州出租汽车行业迎来了发展的春天,出租汽车企业如雨后春笋般涌现,车辆剧增近10倍。

到1985年,广州出租汽车企业逾百家,车辆近7000台,形成了百家争鸣的局面。

然而,行业迅猛发展也产生了很多问题,经营管理有漏洞,司机服务不规范,向乘客漫天要价的现象屡禁不止。

针对这种情况,出租车自动计价器应运而生,净化了行业风气,诚信服务市民,减少司机与乘客间纠纷。

在此过程中,出租车计价器也不断改善性能,外形简洁美观,性能稳定可靠,智能化程度高的出租车计价器正是我们的追求。

本系统设计以AT89S51单片机为核心,采用A44E霍尔传感器测距,实现对出租车的不同时段计价统计,采用2个四位一体8段数码管显示费用,不计价时显示为时钟,采用AT24C02实现在系统掉电时保存单价和系统时间等信息。

1.2 设计目的与要求1.2.1设计目的毕业设计是将理论与实践相结合的教学环节,通过综合运用教材及其他资料,使所学知识得到进一步加深和扩展。

同时还培养设计能力和解决实际问题能力,进行基本技能的训练, 进一步熟练proteus,keilC等软件的操作。

本设计的目的是在学习51系列单片机的基础上,设计出符合要求的电路,从而实现设计产品的计价功能。

1.2.2主要设计内容及基本要求利用AT89S51单片机,设计简单的出租车计价器。

在出租车计价器的总体设计中,除必有的晶振电路,复位电路,电源电路外,主要的外围功能电路有:按键控制电路,掉电保护电路,时钟电路,数码管显示电路等。

通过对以上各功能的设计,制作出的出租车计价器应具有以下功能:上电时显示时钟;按下计价键开始进行分时计价,途中可以按等待键实现出租车行进途中的等待计价,最终金额与行驶里程,行驶时间和等待时间有关;按下速度键,显示当前速度值;按下价格键,显示不同时段的起步价和单价;按下设置键,并通过设置‘+’和设置‘-’实现价格,时钟的调整。

出租车计价器-课程设计报告

出租车计价器-课程设计报告

软件学院课程设计报告课程题目出租车计价器班级集成13-4专业集成电路设计与集成系统学生学号指导教师(填写自己班的指导教师)年月日1.课程设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。

2。

课程设计题目描述和要求2.1.课程设计题目描述①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km后按2.2元/km计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。

②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。

③.将车费和路程显示出来,各有一位小数.2.2。

总体设计思路框架2.3.课程设计题目要求①.设计正确,方案合理。

②。

程序精炼,结构清晰。

③。

设计报告含程序设计说明,用户使用说明,源程序清单及程序框图.④。

上机演示。

⑤.有详细的文档。

文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。

3.设计思想和设计内容3。

1 出租车计费设计该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2。

2元/公里。

并且设计选择模块,在车费超过20元每公里加收50%车费即3。

3元/公里.3。

2 基本设计思想出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。

之后再根据三方面分别设计模块。

1.显示模块.一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。

2、里程模块。

设计要求对里程计数主要分为两个方面,计数以及公里数比较。

即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。

出租车计价器设计

出租车计价器设计

出租车计价器设计一、设计目的出租车计价器是指用于出租车计算乘客乘车费用的仪器或装置,其设计目的是为了提供乘客和驾驶员之间的公平交易和计费机制。

计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算和显示费用,以保证乘客支付公正的费用,同时也为驾驶员提供准确的运营数据。

二、设计要求1.准确计算:计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算费用。

计算过程需精确无误,避免出现漏计、重计或错误计算的情况。

2.易操作:计价器应具备简单直观的操作界面,方便驾驶员进行操作和读取信息。

操作流程和按钮设置应符合直觉,减少操作难度和误操作。

3.易读显示:计价器应具备清晰明确的显示界面,能够直观地显示乘车时间、里程数和费用等相关信息。

显示屏应有合适的亮度和对比度,以适应各种光照条件下的读取。

4.稳定性和耐用性:计价器需要具备良好的稳定性和耐用性,能够在长时间运营和多种环境条件下保持正常工作。

抗干扰、耐振动和防水设计都是需要考虑的因素。

5.数据保存和传输:计价器应具备数据保存和传输功能,能够存储和导出乘车数据。

数据传输方式可以考虑使用USB接口或者无线传输等方式。

6.合法合规:计价器应符合相关法律法规的要求,包括计费标准、计费规则、计量准确性等方面的要求。

三、设计内容1.硬件设计:硬件设计主要包括以下组成部分:-显示屏:需使用亮度和对比度较好的显示屏,可采用液晶显示屏,具备一定的抗干扰能力,以确保信息清晰可读。

-按钮:设有各种操作按钮,例如开始乘车、结束乘车、改变计费模式等,按钮应具备防误触设计,以避免误操作。

-里程传感器:使用里程传感器准确测量行驶里程数。

-时间计算器:使用准确的时间计算器计算乘车时间。

-存储器:用于保存乘车数据,可以设置一定的存储容量,以保证数据的完整性。

-硬件防水、抗干扰设计:计价器需要具备一定程度的防水和抗干扰能力,以适应各种环境条件下的使用。

2.软件设计:软件设计主要包括以下内容:-计费规则设置:根据规定的计费标准设置计费规则,包括起步价、里程单价、时间单价、低速补贴等。

出租车计价器设计报告

出租车计价器设计报告

出租车计价器设计报告一、引言二、需求分析1.收费标准:根据国家和地方的规定,出租车费用通常包括起步价、里程费和时间费。

2.里程计算:计价器需要能够准确计算起点和终点之间的距离。

3.时间计算:计价器需要能够准确计算乘坐时间,以确定时间费用。

4.显示界面:计价器需要具备清晰易读的显示界面,能够显示当前的车费、里程和时间。

5.操作简便:计价器需要提供用户友好的操作界面,方便乘客和司机操作。

6.故障自检:计价器需要具备自动故障检测和报警功能,以保证正常工作。

三、设计方案1.硬件设计:(1)显示屏:使用液晶显示屏,具备高清显示和低功耗的特点,以确保清晰易读的显示界面。

(2)里程测量:采用GPS定位技术测量车辆的实时位置,并根据起点和终点的坐标计算里程。

(3)时间计算:计价器内置实时时钟,能够记录乘坐的时间,并根据时间段进行费用计算。

(4)按键:提供简单明了的按键,用于用户的选择和操作。

(5)故障检测:利用传感器检测故障情况,并在检测到故障时发出警报。

2.软件设计:(1)界面设计:设计直观简洁的用户界面,显示当前的车费、里程和时间,并提供用户选择的接口。

(2)公式计算:根据收费标准,设计相应的公式进行费用计算。

(3)自动故障检测:通过编程实现自动故障检测,并在检测到故障时,及时报警或提醒用户。

(4)数据存储:将计价器的数据存储在内部存储器中,便于后续的数据分析和管理。

四、实施方案1.硬件实施:(1)购买和组装计价器所需的硬件设备,如液晶显示屏、GPS定位模块、实时时钟等。

(2)开发或选择适合的电路板,将相关硬件设备连接起来,并进行测试和调试。

(3)将电路板和其他硬件设备安装在出租车中,确保稳定工作。

2.软件实施:(1)根据设计方案,开发计价器的软件程序,包括界面设计、公式计算、自动故障检测和数据存储等功能。

(2)将软件程序烧录到计价器的控制芯片中,并进行测试和调试。

(3)部署计价器的软件程序到所有出租车计价器上,以确保统一的功能和用户体验。

出租车计价器实验报告(论文)

出租车计价器实验报告(论文)

课程设计课程设计名称:数字电子技术课程设计题目:出租车计价器设计学院:电子信息与自动化学院学生姓名:专业:电子信息工程学号:20176731指导教师:日期:2019年11月28日出租车计价器摘要:本文采用以计数器为核心,将行车里程、等候时间按相同的比价转换成脉冲信号,然后对这些脉冲进行计数的方法,实现对出租车的多功能计价,输出采用4段数码显示管,显示里程及价格。

该计价器通过74LS244和LOGICSTATE来控制起步价和单价的设置,用74LS160构成计数电路,555芯片产生秒脉冲信号,74LS283构成加法器电路,同时以与非门控制行驶和等候的状态切换,实现两种状态的切换,最后,做了复位清零的部分,通过逻辑开关进行控制,对锁存器清零,使得电路恢复初始状态,可以开始重新开始计算,本设计很好的体现了数字系统的设计思想,是一个非常实用的电路。

关键词:出租车,计数器,译码器,555,LED数码管Abstract:This paper uses the counter as the core, converts the mileage and waiting time into the pulse signal according to the same ratio price, and then counts these pulses to realize the multi-function pricing of the taxi, and the output adopts 4 sections digital display tube. Show mileage and price. The meter controls the setting of starting price and unit price through 74LS244 and LOGICSTATE. The counting circuit is composed of 74LS160, the 555 chip generates the second pulse signal, and the 74LS283 is used to construct the adder circuit. At the same time, it switches with the state of non-gate control driving and waiting. The two states are switched. Finally, the complex is done. The part of bit clearing is controlled by logic switch to clear the latch, which can restore the initial state of the circuit and start the calculation again. This design embodies the design idea of the digital system and is a very practical circuit.Keywords:Taxi, counter, decoder, 555 LED digital tube目录1 前言 (2)1.1 设计背景 (2)1.2 设计目标 (2)1.3 实施计划 (2)2 总体方案设计 (2)2.1 方案说明 (4)3 单元模块设计 (4)3.1 各单元模块功能介绍及电路设计 (4)3.1.1 起步价和单价模块设计 (4)3.1.2 里程计数模块设计 (5)3.1.3 候时计数模块设计 (6)3.1.4 加法器模块设计 (8)3.1.5 状态切换、秒脉冲、复位模块设计 (9)3.2 主要器件的介绍 (10)3.2.1 74LS273器件介绍 (10)3.2.2 74LS244器件介绍 (10)3.2.3 74LS283器件介绍 (11)3.2.4 7485器件介绍 (12)3.2.5 74LS160器件介绍 (12)3.2.6 74LS32器件介绍 (13)3.2.7 555芯片介绍 (13)4 系统调试 (14)4.1 调试环境 (14)4.2 硬件调试 (15)4.3 系统能实现的功能 (15)4.4 系统功能及指标参数分析 (15)5 结论 (16)6 总结与体会 (16)7 谢辞.............................................................................................................................. 错误!未定义书签。

出租车计价器实验报告

出租车计价器实验报告

大规模集成电路实验报告院系:电子工程学院班级:研2-093专业:电路与系统任课教师:任爱峰姓名:冀晓涛学号:0911120640出租车计价器的VHDL设计与仿真一、设计要求及主要技术指标(1)、设计要求1、设计一个出租车计价器。

2、该计价器的计费系统:行程3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟以1.5元计费。

3、并能显示行驶公里数、等待累计时间、总费用。

(2)、主要技术指标1、计价范围:0~999.9元计价分辨率:0.1元。

2、计程范围:0~99公里计程分辨率:1公里。

3、计时范围:0~59分计时分辨率:1分。

二、系统组成它由外部输入模块、FPGA模块、显示模块三部分组成。

三、模块设计(1)、分频模块1、分频模块对频率为240Hz的输入脉冲进行分频,2、得到的频率为16Hz,15Hz和1Hz的三种频率。

(2)、控制模块控制模块是系统的核心部分,对计价器的状态进行控制。

(3)、计量模块1、计价部分:行程3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟1.5元计费。

2、计时部分:计算乘客的等待累计时间。

计时器的量程为59分,满量程自动归零。

3、计程部分:计算乘客所行驶的公里数。

计程器的量程为99公里,满量程自动归零。

(4)、译码模块1、计费数据送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示。

2、计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示。

3、计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示。

(5)、显示模块1、计价数据在以百元、十元、元、角为单位对应的数码管上显示。

2、计时数据在以分为单位对应的数码管上显示。

3、计程数据在以公里为单位的数码管上显示显示。

四、程序设计与仿真出租车计价器电路符号(1)、出租车计价器VHDL程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min1,min0: out std_logic_vector(3 downto 0)); --等待时间end taxi;architecture behav of taxi issignal f_15,f_16,f_1:std_logic; --频率为15Hz,16Hz,1Hz的信号signal q_15:integer range 0 to 15; --分频器signal q_16:integer range 0 to 14; --分频器signal q_1:integer range 0 to 239; --分频器signal w:integer range 0 to 59; --秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --费用计数器signal k1,k0:std_logic_vector(3 downto 0); --公里计数器signal m1:std_logic_vector(2 downto 0); --分的十位计数器signal m0:std_logic_vector(3 downto 0); --分的个位计数器signal en1,en0,f:std_logic; --使能信号beginfeipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseif q_15=15 then q_15<=0;f_15<='1'; --此IF语句得到频率为15Hz的信号else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此IF语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此IF语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_15; --此IF语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end if;end process;process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsif stop='1' thenif w=59 then w<=0; --此IF语句完成等待计时if m0="1001" then m0<="0000"; --此IF语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1'; --此IF语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此IF语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此IF语句得到en0使能信号else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出end if;end process;process(f,start)beginif start='0' then c3<="0000";c2<="0001";c1<="0000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此IF语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process;end behav;(2)、程序仿真图注:1. 仿真图中秒跟分的关系为3进制,即w为2时就归0;2. 出租车总行驶5公里,等待累计时间为4分钟,总费用为16.2元。

数电课程设计出租车计价器讲解

数电课程设计出租车计价器讲解

目录引言 (1)一、设计目的 (1)二、设计任务 (1)2.1设计要求及技术指标 (1)2.2要完成的任务 (1)2.3设计模块 (2)2.4总体思想 (2)2.5总体框图 (3)三、出租车自动计费器的实现 (3)3.1出租车电路的实现 (3)3.1.1里程计算与显示电路 (3)3.1.2里程比较电路 (4)3.2时间计费模块中的计数部分 (6)3.2.1设计任务分析 (6)3.2.3单元电路分析与设计 (6)3.2.4系统原理电路与仿真 (6)3.3 时间计费模块中的比较部分 (7)3.3.1 设计任务分析 (7)3.3.2 系统方案设计 (7)3.3.3 单元电路分析与设计 (7)3.3.4 系统原理电路与仿真 (8)3.4计价部分 (8)四、仿真过程 (8)4.1里程大于3km时 (9)4.2 等待时间大于5分钟时 (9)五、总电路 (10)六、设计心得 (10)七、出租车计费器核心器件简介 (12)7.1 74LS160 (12)7.2 74LS85 (14)7.3 555计时器 (15)7.4 7400N 74LS02N 7404N (17)7.5所用元件数量及价格表 (18)八、参考文献 (19)引言随着社会的进步以及人们生活水平的日益提高都促使交通工具的不断变化,无论是在大城市或是中小城市越来越多的出租车公司不断的出现。

出租车的出现方便了人们的生活,对于现在快节奏生活方式的人们来说出租车无疑是最好的交通工具。

那么其收费的方式自然而然就被给予关注,比如收费标准以及行驶里程等。

一、设计目的1.1 本电子设计主要实现以下目的:1)增强对数字电子技术课程的了解与掌握2)学习相关软件的使用方法3)具备简单电子的设计能力二、设计任务2.1设计要求及技术指标设计一出租车计价器,设计要求及技术指标如下:1、能计汽车行驶的里程和停车等候时间。

2、能自动显示出该收的车费和停车等候费。

3、超过基本里程,每千米行车费增加1元。

出租车计价器系统设计

出租车计价器系统设计

出租车计价器系统设计
1.系统架构:出租车计价器系统的架构应该分为前端和后端两个部分。

前端负责收集乘客相关的信息,如起始时间、终止时间、起点和终点的位
置等,而后端负责根据这些信息进行计算并返回车费结果。

2.数据采集:为了实现计价器的功能,需要采集乘客乘车时的时间和
地理位置信息。

可以使用全球定位系统(GPS)来获取车辆的位置信息,
并通过系统的时钟记录乘车开始和结束的时间。

3.价格计算规则:出租车计价器系统的价格计算规则应该根据当地的
出租车定价政策来确定。

一般情况下,车费的计算会根据乘车的时间和距
离进行,同时还可能考虑其他因素,如车辆类型、夜间加价等。

4.数据存储和管理:为了实现出租车计价器系统的正常运行,需要将
乘车相关的数据进行存储和管理。

可以使用数据库来存储乘客和乘车信息,并使用相关的查询和更新操作进行数据的管理。

5.界面设计:出租车计价器系统的界面应该简洁、易用,方便乘客进
行操作。

可以使用图形用户界面(GUI)来实现用户与系统的交互,并提
供必要的输入和输出界面。

6.维护和升级:出租车计价器系统的维护和升级是保证系统稳定运行
的重要环节。

需要定期检查系统的硬件和软件设备,对系统进行故障排除
和性能优化,并根据出租车定价政策的更新进行相应的系统升级。

综上所述,出租车计价器系统应该具备数据采集、价格计算规则、数
据存储和管理、界面设计以及维护和升级等关键功能。

通过合理的系统架
构和设计,可以实现对出租车乘客车费的准确计算和及时反馈,提升乘客
的满意度和司机的收益。

出租车计价器毕业设计

出租车计价器毕业设计

摘要现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局,预计未来计价器市场很有前景。

计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因此,具有良好性能的计价器对广大出租车司机朋友和乘客来说是很必要的。

但是现阶段出租车的计费系统功能有时候并不能满足出租车司机的意愿。

本课题是出租车计价器系统的设计。

重点是设计出租车计价器的实用性,并尽量使最后的成品接近于商品。

整个系统是以89S51单片机为中心,采用A44E霍尔传感器对轮胎转数进行计数,实现对出租车里程的测量,并最终计算出结果。

其中采用掉电存储芯片AT24C02使系统在掉电的时候对单价、里程等信息进行存储,人机交换界面采用的是LCD1602液晶屏显示,并且通过语音芯片进行播报。

本电路设计的出租车计价器不但能实现计价的功能,同时还能实现根据时钟芯片自动调整白天、黑天计价模式,能自动处理中途等待等功能。

关键词:单片机AT89S51;LCD1602;出租车计价器;霍尔传感器;AT24C02ABSTRACTAutomatic taximeter has been universal among Cities for now. The taximeter technology is a foregone conclusion already. So taximeter of cars is still potential at next generation. As an important component taximeter is not only the interests of taxi drivers but also the passengers, so it has played an important role. The good performed taximeter is necessary for the public. The curre nt taxi billing systems don’t m eet the wishes of people. In this article we talk about various designs of taximeter system.The point is to design the practicality of the taximeter and as far as possible to make the final finished product close to commodities. The whole system is based on single-chip 89S51 and use a hall-sensor A44E to count the number of revolutions. In this way we can achieve the statistics of the taxi mileage and give out the final results. In this design we use AT24C02 to store the price, mileage and other information when the power is down. The man-machine interface of the exchange is LCD1602 screen, and through the voice chip broadcasts. This circuit design can not only realize the meter taxi valuation function, but also can realize the automatic adjustment according to the clock chips during the day, dark pricing mode, it can automatic processing midway waiting for function. Keywords: single-chip AT89S51; LCD1602; Taximeter; Hall sensor; AT24C02目录摘要 (I)ABSTRACT ....................................................................................... I I 1 绪论. (1)1.1 国内外出租车计价器的研究现状 (1)1.2 国内外出租车计价器的发展趋势 (2)1.3 本课题的研究目的及意义 (2)2 出租车计价器的方案论证 (4)2.1 出租车计价器的功能要求 (4)2.2 方案论证与比较 (5)3 出租车计价器的硬件设计 (7)3.1 单片机的介绍 (7)3.2 单片机最小系统 (11)3.3 里程计算模块的设计 (13)3.4 显示模块设计 (16)3.5 语音模块的设计 (18)3.6 掉电存储电路的设计 (21)3.7 按键电路的设计 (23)3.8 时钟电路的设计 (24)4 出租车计价器的软件设计 (27)4.1 模块介绍 (27)4.2 主程序模块 (27)4.3 里程计数中断程序 (28)4.3 定时中断服务程序 (29)4.4 中途等待服务程序 (30)4.5 显示服务子程序 (31)4.6 键盘服务程序 (33)5 系统调试 (34)5.1 软件调试 (34)5.2 里程计价测试 (35)5.2 掉电存储测试 (37)结论与展望 (38)可行性分析报告 (39)参考文献 (40)致谢 (41)附录一 (42)附录二 (43)附录三 (76)1 绪论出租车计价器是出租车营运收费的专用智能化仪表,随着电子技术的发展,出租车计价器技术也在不断进步和提高。

数电课设报告——出租车计价器

数电课设报告——出租车计价器

课程设计任务书学生姓名:专业班级:指导教师:许建霞工作单位:信息工程学院题目: 出租车计价器的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。

用数码管显示行驶里程、停车时间和计费值。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。

2、技术要求:1)设计一个出租车计价器。

要求用4位数码管显示行驶里程、停车时间和计费值,采用按键切换显示物理量。

2)出租车的起步价为3元,当里程行驶到1km后,费用为4元;当里程行驶到1.5km后,费用为5元;,当里程行驶到2km后,费用为6元;当里程行驶到2.5km后,费用为7元;当里程行驶到3km后,费用为8元;此后每公里计费为0.7元/0.5km。

停车每达3分钟,增加0.7元。

3)具有秒、分为60进制计数功能,能显示分、秒停车状态的计时结果,格式为:00:00min。

按照轮胎转动1圈为1m计算,计算并显示行驶的里程结果,格式为:000.0km。

能显示乘车费用,格式为:000.0元。

4)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

3、查阅至少5篇参考文献。

按《武汉理工大学课程设计工作规范》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规范。

时间安排:1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。

2、年月日至年月日,方案选择和电路设计。

3、年月日至年月日,电路调试和设计说明书撰写。

4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录1. 1 原理图的设计 (4)1.1方案的选择、比较 (4)1.1.1 方案一 (4)1.1.2 方案二 (5)1.1.3 方案比较选择 (6)1.2 方案二的说明及电路 (6)1.2.1 AT89S52单片机及最小系统 (6)1.2.2 振荡电路 (7)1.2.3 按键单元 (7)1.2.4 显示单元 (8)1.2.5 存储单元 (9)1.3 系统总程序 (10)1.3.1系统总程序 (10)1.3.2 行驶路程子程序 (11)1.3.3 等待时间子程序 (12)1.4主要电路图及工作原理 (14)2 仿真结果分析 (15)3 分析测试数据 (16)4收获、体会、建议 (17)5元器件清单 (18)5.1单片机主板BOM清单 (18)5.2 显示部分器件 (19)6 主要参考文献资料 (20)附录一 (22)附录二 (23)摘要随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注。

出租车计价器安全计量的设计与实现

出租车计价器安全计量的设计与实现

出租车计价器安全计量的设计与实现摘要出租车计价器里程的计量是通过传感器取得脉冲信号实现计量,当前出现了许多利用干扰传感器脉冲信号,以达到计价器多计里程多收费的作弊现象。

本文通过对计价器传感器与计价器主机加以改造设计,提高出租车计价器计量的安全性。

关键词出租车计价器(taimeter);脉冲信号;传感器0引言由于出租车计价器对里程的计量是通过传感器取得脉冲信号实现计量,因此出现了一些利用一些手段干扰脉冲信号,以达到计价器多计里程多收费的作弊现象。

常见的有用脉冲发生器或加装小电动机加快脉冲信号的传出量,以控制一段时间内计价器多计里程。

针对以上情况,本文提出了一种对出租车计价器的里程脉冲实现安全计量的设计。

1设计思路出租车计价器的里程计量是通过计程传感器取得,目前出租车计价器的传器主要是利用磁电感应原理设计的干簧管或霍尔开关器件的传感器。

出租车计价器计程的过程是当出租车行驶,由出租车车轮带动传感器的转轮转动,传感器的转轮上有永久型的小磁钢,每当小磁钢随转轮转动经过一次霍尔开关器件,霍尔开关器件接通与闭合一次,就产生一个相应脉冲信号。

车轮行驶一定单位的里程就产生相应数量的脉冲信号。

例:若其中一车型出租车每行驶1公里,其传感器传出1000个计数脉冲信号,则此出租车“K”值可设为100。

按0。

1公里计程时,每获得100个脉冲信号,比较其相应K值就可记录出租车行驶了0。

1公里。

而一些作弊者往往会利用在比较K值的计程正常脉冲里加进高速的干绕脉冲,以使出租车计价器多计脉冲,达到多计里程多收费。

本文提出的对出租车计价器脉冲安全计量设计的原理是在原计程传感器基础上,在出租车计价器的里程传感器内(霍尔开关器件连接到计价器主机之间)增加一片PIC的CMOS单片机及相应电路的设计。

由PIC单片机对霍尔开关器件产生的脉冲进行处理,将一个计数脉冲编码为一个固定的16bit位编码脉冲值,再传给计价器主机,16位的编码脉冲值通过脉冲宽度实现0与1区分。

ZT单片机课程设计--出租车计价器

ZT单片机课程设计--出租车计价器

ZT单片机课程设计--出租车计价器D一.设计任务及思路1.出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连接构成一个完整的系统。

2.公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲信号送给单片机作为一定距离的计数值。

3.显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。

4.定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。

二、里程计数器的原理(光电式)在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。

在隔光盘两侧分别装有与车体固定的光源和感光元件。

当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。

这就是对里程转换为计数值的基本原理。

其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。

在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号(P3.4)。

三、LED显示电路对设计总体分析下,只需对其价格进行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。

显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。

四、计价器的计价要求1.计价方式:起价(两公里)白天8元,晚上10元;由一开关进行选择。

每公里一元,即每一百米加价一角。

2.转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产生一个溢出中断。

五、电路原理图及引脚P0.7-P0.0 数码管输出数据P2.7-P2.4 数码管位选P1.0 显示小数点(长亮)P1.2 计价器工作开关P1.3 白天/夜间切换P3.4 计数脉冲输入出租车计价器电路原理六、流程图N 开始开始计价昼夜选择显示零 显示零Y显示夜间起价显示白天起价夜 昼显示价格 主程序流T1中断够67次N Y R4=0 ? NYR4+1价格寄存器加一 调整BCD 码 放入数据显示区返回中断程序七、源程序ORG 0000H ;起始地址AJMP STARTORG 001BH ;计数中断地址LJMP CNT1ORG 0030H ;主程序地址START: MOV PSW, #00HMOV IE, #88H ;IE=10001000BMOV TMOD, #60H ;TMOD=01100000B,T1工作方式2MOV TH1, #0BDH ;自动重装MOV TL1, #0BDH ;计67个数SETB TR1 ;计数器启动CLR P1.0 ;点亮小数点dpMOV R4, #0FFH ;置数-1MOV R0, #20H ;指向数据区首地址MOV R1, #04H ;数字个数LP1: MOV @R0, #00H ;把00放入显示数据区INC R0 ;指针加一DJNZ R1, LP1 ;继续置数OFF: SETB P1.2JNB P1.2, ON ;计价器开始跳转至ONACALL DISP ;调用显示子程序AJMP OFFON: SETB P1.3JB P1.3, DAY ;判断白天or夜间行车NIGHT: MOV R2, #00H ;价格后两位压缩BCD码MOV R3, #01H ;价格高两位压缩BCD码MOV 21H, #01H ;显示数据区放入起价BCD码CALL DISP ;显示夜间起价AJMP LP2DAY: MOV R2, #80H ;价格后两位压缩BCD码MOV R3, #00H ;价格高两位压缩BCD码MOV 22H, #08H ;显示数据区放入起价BCD码LP2: CALL DISP ;显示白天起价AJMP LP2CNT1: MOV 35H, R5MOV 36H, R6MOV 32H, R0MOV 31H, AMOV 30H, R4 ;保护中断前寄存器内容CJNE R4, #00H, INCC ;两公里内计数值加1 CLP0: MOV A, R2ADD A, #01H ;后两位计价加一DA A ;十进制调整MOV R2, AMOV A, R3ADDC A, #00H ;前两位计价加进位DA A ;十进制调整MOV R3, ACLP2: MOV A, R2MOV B, #10HDIV AB ;将压缩BCD码拆成BCD码MOV 23H, BMOV 22H, A ;按高低位存入显示数据区MOV A, R3MOV B, #10HDIV AB ;将压缩BCD码拆成BCD码MOV 21H, BMOV 20H, A ;按高低位存入显示数据区CALL DISP ;显示价格AJMP RETCINCC: INC R4 ;两公里内计数器加一RETC: MOV A, 31HMOV R0, 32HMOV R5, 35HMOV R6, 36H ;恢复中断前数据内容RETI ;中断结束DISP: MOV R5, #04H ;显示子程序,显示个数MOV R0, #20H ;指向数据区首地址MOV R6, #80H ;位选MOV DPTR, #TAB ;指针指向显示列表DLP0: MOV A, @R0MOVC A, @A+DPTR ;取出对应的显示代码MOV P0, A ;输出数据MOV A, R6MOV P2, A ;输出位选RR A ;右移位选MOV R6, AINC R0 ;指向下一地址DJNZ R5, DLP0 ;循环RET ;结束TAB: DB 3FH, 06H, 5BH, 4FH, 66H, 6DH, 7DH, 07H, DB 7FH, 67H ;显示代码列表END八、调试利用KeilC51软件对其进行编译、仿真,得出比较满意的效果。

出租车智能计价器的设计与实现探讨

出租车智能计价器的设计与实现探讨

出租车智能计价器的设计与实现探讨出租车作为城市交通中独特的交通工具,在城市交通运营中具有不行替代的重要作用。

出租车司机最关怀计价器的营运数据治理是否便利,而乘客往往最在意出租车计价计费是否合理。

为了削减出租车司机和乘客间不必要的误会,设计既能精确计价又能便利使用的计价器显得特别重要。

科技在不断进展,社会在快速进步,出租车计价系统也需不断地得到优化。

文中以嵌入式单片机AT89C51为主控MCU,设计多功能出租车智能计价器。

此计价器能够按实际状况综合计价,并将乘车用时、行驶里程和乘车费用等重要信息显示出来,具有功能更齐全、系统更稳定、使用更便利等优势。

1 出租车智能计价系统总体设计利用AT89C51作为单片机核心器件设计的智能计价系统,使用便利、灵敏性好,其强大的掌握处理功能和可扩展功能为设计电路供应了很好的选择。

利用其I/O端口及其掌握的多功能特点,采纳按键掌握进展分屏显示,实现根本乘车计价和信息显示功能。

在系统硬件设计中,以AT89C51单片机为掌握中心,外接A44E霍尔传感器信号采集模块、时钟模块、按键模块、显示模块以及掉电爱护存储模块。

其中,选用A44E霍尔传感器信号采集模块可将磁感应转换为脉冲信号,从而计测出行车里程;时钟模块采纳DS1302芯片,设置标准时钟;掉电存储模块采纳AT24C02芯片,以确保掉电时数据自动保存在存储单元;当系统重新上电时,能自动读取数据;按键模块采纳四个按键掌握,可实现分屏显示功能;显示模块采纳8位LED数码管进展显示。

2 系统软件设计2.1 系统主程序在主程序模块中,不仅需要完成参量和接口的初始化设计、出租车起步价和单价的设置以及中断、计算等操作,还应设置启动/去除标志、里程以及价格存放器,并对其进展初始化。

最终,为实现存放器中内容的完整性及准确性,主程序应能分别完成启动、去除、计程及计费等操作。

当出租车起步运行时,计价器同时启动开头计价,依据里程存放器中的存储数据进展运算并判别行驶路程是否超过起步价的里程。

出租车计价系统设计与制作

出租车计价系统设计与制作

1 绪论1.1课题的目的和意义随着社会的不断发展,人们的生活水平逐渐提高,人们越来越关注生活中方方面面的舒适程度,社会的基本公共设施的建设也尽可能的把这方面的要求提升,所以新时代人们重点关注的主要是衣食住行的问题。

人们在出行期间也注意其方便性和舒适性。

于是,出租车行业便由此孕育而出,出租车行业以低价、高质的服务让人们在出行中感受到了便捷和舒适。

但是在早期出租车行业中,,其收费纠纷很大程度上限制着着行业本身的发展,人们为了解决这一矛盾,想出的最好的方法就是增加出租车的计价功能,用精良的计费器为出行的乘客提供更加方便快捷的服务,司机和乘客之间的冲突也随着计价器的兴起而消失不见。

近些年来出租车计价器都是应用了单片机技术制造出来的。

单片机是微型机的一个主要分支,在结构上的最大特点就是把CPU、存储器、定时器和多种输入、输出接口集成在一块芯片上。

采用单片机作为出租车计价器的核心控制器,就可以用较少的硬件电路和恰当的软件程序相互配合构成计价器,可以实现里程计费、价格调整和显示金额等功能,并且灵活性强、精度高、可靠性好。

1.2国内外现状我国在80年代开始就出现了出租车,但那时的国民经济水平和科研能力都比较有限,起初的计费系统大都是国外进口的,不但其准确性和便利性不高,价格也十分的昂贵,造成出租车公司的成本升高。

现在全国各个城市的出租车行业都已普及,出租车计价器也随着出租车的普及而被广泛应用,所以计价器技术的发展也越来越好。

而出租车计费器规范了乘客与司机双方的交易准则,是出租车行业的重要组成,具有良好性能的计费器无论是对出租车司机还是乘客来说都是必要的,是更便利交易的。

因此研究出租车计费器也是十分有价值和意义的。

相信未来的出租车计价器会随和科技的进步而更一步发展。

近些年来出租车计价器都是应用了单片机技术制造出来的。

其功能也相比之前越来越多,现在的计价器一般包含显示单价、路程、总金额、实时时间、白天和黑夜两种模式切换、停车等待计费、语音播报以及打印电子发票等等。

基于单片机的出租车计价器设计20240525

基于单片机的出租车计价器设计20240525

基于单片机的出租车计价器设计20240525出租车计价器是一种用于计算乘客乘坐出租车所需支付费用的设备。

它可以根据时间和里程计算车费,并显示在计价器上供乘客查看。

本文将介绍基于单片机的出租车计价器的设计。

首先,我们需要使用一个单片机来控制计价器的运行。

单片机是一种集成电路,可以通过程序控制其输入和输出。

我们可以使用一些常见的单片机,如ATmega328P或PIC16F877A。

接下来,我们需要一些外部组件来实现计价器的功能。

这些组件包括LCD显示屏、按键、蜂鸣器和电子记忆器。

LCD显示屏用于显示车费、时间和里程等信息;按键用于设置起步价和每公里价格;蜂鸣器用于发出提醒声音;电子记忆器用于存储车费、时间和里程等信息,以便在断电后恢复运行。

计价器的设计包括以下几个部分:1.硬件设计:将LCD显示屏、按键、蜂鸣器和电子记忆器与单片机连接起来。

LCD显示屏通过并口或串口与单片机连接,按键通过输入引脚与单片机连接,蜂鸣器通过输出引脚与单片机连接,电子记忆器通过SPI或I2C总线与单片机连接。

2.软件设计:编写单片机的程序来实现计价器的功能。

程序应包括以下几个功能:-设置起步价和每公里价格:乘客可以通过按键设置起步价和每公里价格。

单片机将读取按键的状态,并将设置的值保存在RAM中。

-计算车费:根据时间和里程计算车费。

单片机将读取时间和里程,并使用保存在RAM中的起步价和每公里价格进行计算。

-显示车费:使用LCD显示屏显示计算得到的车费。

-提示声音:当计价器开始计费、结束计费或车费超过一定额度时,蜂鸣器将发出提醒声音。

-存储数据:将计费时间和里程等数据存储在电子记忆器中,以便在断电后恢复运行。

3.测试和调试:在设计完成后,需要对计价器进行测试和调试,确保其正常运行。

可以通过模拟输入数据并观察输出结果来进行测试。

通过以上的设计和实现,我们可以得到一个基于单片机的出租车计价器。

它可以准确计算乘客所需支付的车费,并通过LCD显示屏显示出来。

出租车计价器设计与实现word文档

出租车计价器设计与实现word文档

西安电子科技大学单片机电路设计报告设计题目:出租车计价器设计与实现系部计算机系专业计算机科学与技术班级 030914学生姓名学号指导教师2011年 11月 24 日1.前言本文介绍了出租车计价器系统在实际生产生活中的重要性,介绍一种以单片机STC89C52 为核心的出租车计价器的设计,阐述硬件设计过程中关键技术的处理。

在出租车是城市交通的重要组成部分,行业健康和发展也获得越来越多的关注。

汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。

它关系着交易双方的利益。

具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。

因此,汽车计价器的研究也是十分有一个应用价值的。

本设计采用STC89C52单片机为主控器,实现对出租车的计价设计,输出采8段数码显示管,74LS245芯片和74LS138芯片驱动。

本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜来调节单价,同时具有计时功能。

2.系统整体设计设计要求设计一款基于STC89C52单片机的出租车计价器基本功能(1)根据输入的模拟脉冲实现同步计费,起步价设为7元,每公里费用初始设为2元(2)能够根据白天/晚上切换单价(3)具有清零功能(4)八位数码管动态显示(计费模式下4位显示路程,4位显示费用)(5)具有计时功能(6)能够在计时模式和计费模式之间切换(7)按键要求:白天/晚上切换、中断输入、清零、计时模式/计费模式切换系统功能本次设计的出租车计价器的主要功能有:金额输出、里程输出、数据复位、白天/晚上单价转换、计时等。

输出采用2个4位8段共阳极数码管。

计费模式下前四位显示金额,后四位显示里程,计时模式下八位全用来显示时间。

设计方案本设计是由硬件设计和软件设计两部分所组成。

软件设计要进行程序的编写和软件仿真;硬件设计要进行原理图设计,PCB设计,制作电路板和硬件调试等硬件设计方案:本系统的硬件设计主要包括单片机STC89C52、数据显示部分、按键单元、电源部分、RS232串口部分。

出租车计价器的设计(用计算机输入输出系统完成)

出租车计价器的设计(用计算机输入输出系统完成)

计算机硬件技术基础课程设计计程车计价器设计(用计算机系统的输入输出设备完成)重庆大学自动化学院二O一O年九月目录摘要 (2)1.设计的主要内容和方案 (2)2.任务分工 (3)3.操作说明 (3)4.程序流程图 (4)4.1 主程序流程图 (4)4.2 路程计价与等待时间计价切换流程图 (5)4.3 路程计价流程图 (6)4.4 时间计价流程图 (6)4.5 显示程序流程图 (6)5. 总结与体会 (7)5.1 吕天志的总结与体会 (7)5.2 冯尚飞的总结与体会 (8)5.3 唐杰的总结与体会 (9)5.4 旷驹的总结与体会 (10)6.参考文献 (10)7.程序清单 (11)出租车计价器的设计(用计算机系统的输入输出设备完成)摘要:出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。

它关系着交易双方的利益。

具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。

因此,汽车计价器的研究也是十分有一个应用价值的。

学习了计算机硬件技术基础,我们对微机原理有了初步了解,也能编程实现一些基本功能。

我们根据计价器的设计要求,用计算机输入输出设备完成了出租车计价器的软件设计。

1 设计的主要内容和方案分析任务要求,确定系统整体设计思路系统的设计方案1分析任务要求,确定系统整体设计思路(1)方案一:用汇编语言实现软件设计分为五个模块:主程序模块、路程计价模块、停车等待计价模块、油耗计算及报警模块、显示模块。

在主程序中,通过设置相应的中断向量,调用相应的中断服务程序,完成相应的计价,调用相关显示程序,完成计价器的最终显示。

路程计价模块中,首先根据行车速度和行车时间计算出行车路程,其中,行车时间可以由计算机内部的8254定时器通道0完成,然后根据路程和预先输入的起步价和每公里单价计算出价格。

在计价过程中,若遇到停车,但没有停止计价,则转入停车等待计价模块。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

西安电子科技大学单片机电路设计报告设计题目:出租车计价器设计与实现系部计算机系专业计算机科学与技术班级030914学生姓名罗维、张长建、邓海霞学号03091350田玉敏指导教师2011年11月24 日1.前言本文介绍了出租车计价器系统在实际生产生活中的重要性,介绍一种以单片机STC89C52 为核心的出租车计价器的设计,阐述硬件设计过程中关键技术的处理。

在出租车是城市交通的重要组成部分,行业健康和发展也获得越来越多的关注。

汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。

它关系着交易双方的利益。

具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。

因此,汽车计价器的研究也是十分有一个应用价值的。

本设计采用STC89C52单片机为主控器,实现对出租车的计价设计,输出采8段数码显示管,74LS245芯片和74LS138芯片驱动。

本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜来调节单价,同时具有计时功能。

2.系统整体设计设计要求设计一款基于STC89C52单片机的出租车计价器基本功能(1)根据输入的模拟脉冲实现同步计费,起步价设为7 元,每公里费用初始设为2元(2)能够根据白天/晚上切换单价(3)具有清零功能(4)八位数码管动态显示(计费模式下4位显示路程,4位显示费用)(5)具有计时功能(6)能够在计时模式和计费模式之间切换(7)按键要求:白天/晚上切换、中断输入、清零、计时模式/计费模式切换系统功能本次设计的出租车计价器的主要功能有:金额输出、里程输出、数据复位、白天/晚上单价转换、计时等。

输出采用2个4位8段共阳极数码管。

计费模式下前四位显示金额,后四位显示里程,计时模式下八位全用来显示时间。

设计方案本设计是由硬件设计和软件设计两部分所组成。

软件设计要进行程序的编写和软件仿真;硬件设计要进行原理图设计,PCB设计,制作电路板和硬件调试等硬件设计方案:本系统的硬件设计主要包括单片机STC89C52、数据显示部分、按键单元、电源部分、RS232串口部分。

在硬件设计过程中,充分利用各部件的功能,实现多功能出租车计价器的设计计价器的系统框图如图1所示:单片C5按键输入数码管显示电路电源输入1 总体方案方框图图软件设计方案Proteus 7 软件进行软件调试和仿真。

语言编写程序,使用KeilC51和C本设计采用其中的里程计算方案和金额计算方案如下:里程计算用按键输入来代替霍尔传感器对车轮检测的输出脉冲到单片机1)(0.1km一个输入代表路程单片机对按键输入的信号进行计数,并进行路程计算。

(2)金额计算内不加价7元,并且2km起步价初始设定为(1)公里元公里,晚上按元后,开始加价。

白天按当路程超过)(2 2km2/3/开始设置定时器、中断参数扫描独立键盘公里元3/NO晚上按键按下?白天/ 公里元/YES 2 1计时定时器YES计费按下?计时/ 进入计时模式NO清零是否按下?YES复位结束软件流程2 图3.硬件设计本系统的硬件设计主要包括单片机STC89C52、数据显示电路、按键单元、电源电路部分、RS232串口电路STC89C52STC89C52主要特征●与MCS-51系列单片机产品兼容● 4K字节在系统可编程Flash存储器(片内具有4K字节闪速存储器)● 1000次擦写周期● 128Bytes的内部RAM单元● 4个8位I/O口,即32位可编程I/O口线● 2个16位定时器/计数器● 6个中断源●可编程全双工串行口●低功耗空闲和掉电模式●掉电后中断可唤醒●看门狗定时器●双数据指针●灵活的ISP在线编程功能(字或字节模式)●宽范围的工作电压,VCC的允许变化范围为了2.7~6.0V●可设置为待机状态和掉电状态●振荡器及时钟电路,全静态工作方式,时钟频率可为0Hz~24MHz。

具有全静态的工作方式,表明它不一定要求连续的工作时钟定时,在等待内部事件期间,时钟频率可降至0。

STC89C52芯片在出厂时,闪存处于可擦除状态,各地址单元内容为FFH,可随时进行编程。

编程是按字节进行的。

编程电压VPP有高压12V的,也有低压5V的。

STC89C52的内部结构框图如图3所示:STC89C52管脚结构图及管脚说明如图4所示VCC:供电电压。

GND:接地P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。

当P1口的管脚第一次写1时,被定义为高阻输入。

P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

在FLASH编程时,P0 口作为原码输入口,当FLASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。

P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,口作为第八位地址接P1编程和校验时,FLASH在这是由于内部上拉的缘故。

将输出电流,收。

管脚结构图4 STC89C52图P2.0~P2.7 P0.0~P0.7P0驱动 P2驱动RARAMP锁存FPEROMP锁存程序地寄存ACC寄存堆栈指TMP2TMP1缓P增1ALU中断串行口定时PCPSWPSEN定指DPTR控寄锁存P锁存POSCP驱P驱++P1.0~P1.7P3.0~P3.7图3 STC89C52的内部结构框图个4口缓冲器可接收,输出P2口,I/O位双向8口为一个内部上拉电阻的P2口:P2.TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。

并因此作为输入时,P2口的管脚被外部拉低,将输出电流。

这是由于内部上拉的缘故。

P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。

在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。

P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。

当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。

作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3口也可作为AT89C51的一些特殊功能口,如表3-1所示:P3口管脚备选功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)P3.3 /INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号。

表5 STC89C52部分引脚功能表端口引脚复用功能RXD P3.0 (串行输入口)TXD P3.1 (串行输出口)/INT0(外部中断0) P3.2/INT1(外部中断1) P3.3T0(定时器0 P3.4 的外部输入)T1 P3.5 (定时器1的外部输入)/WR(外部数据存储器写选通) P3.6/RD P3.7(外部数据存储器读选通)RST:复位输入。

当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。

在FLASH 编程期间,此引脚用于输入编程脉冲。

在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。

因此它可用作对外部输出的脉冲或用于定时目的。

然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。

如想禁止ALE的输出可在SFR8EH地址上置0。

此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。

另外,该微处理器在外部执行状态ALE禁止,置位无效。

引脚被略微拉高。

如果/PSEN:外部程序存储器的选通信号。

在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。

但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现,不管是否)0000H-FFFFH则在此期间外部程序存储器(保持低电平时,EA当:/EA/VPP有内部程序存储器。

注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。

在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入XTAL2:来自反向振荡器的输出STC89C52的振荡器特性XTAL1和XTAL2分别为反向放大器的输入和输出。

该反向放大器可以配置为片内振荡器。

石晶振荡和陶瓷振荡均可采用。

如采用外部时钟源驱动器件,XTAL2应不接。

有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。

STC89C52最小系统单片机最小系统,是指能维持单片机运行的最简单配置的系统。

这种系统成本低廉、结构简单,常用来构成简单的控制系统。

复位电路单片机在启动运行时都需要进行复位操作,以便使CPU和系统中的其它部件都处于某一确定的初始状态,并从这个状态开始工作。

AT89C52单片机有一个引脚RST,它是施密特触发器的输入端,其输出端接复位电路的输入。

复位信号是高电平有效,其有效时间应持续24个振荡脉冲周期(即二个机器周期)以上,若使用频率为6MHz的晶振,则复位信号持续时间应超过4μs才能完成复位操作。

复位有电复位和手动复位两种。

手动复位有电平方式和脉冲方式两种。

本次设计中,采用上电复位。

复位电路连接图如图6所示:图6 复位电路振荡电路时钟电路产生的振荡脉冲经过触发器进行二分频之后,才能为单片机的时钟脉冲信号。

振荡频率取决于石英晶体的振荡频率,频率范围可取1.2MHz—12MHz。

C2、C4主要起频率微调和稳定作用,电容值可取5~30pF。

晶体振荡频率高,则系统的时钟频率也高,单片机运行也就快,但反过来运行速度快对存储器的速度要求就高,对印刷电路板的工艺要求也高(线。

22pF的值均为C4和12MHz,C2。

在本次设计中,选取晶振频率为间寄生电容要小).振荡电路如图7所示图7 振荡电路电源电路部分任何电子设备都需要用直流电源供电,比较经济实用的的方法是直接使用计算机USB接口供电,其输出电压稳定,可靠性高,调试方便电源电路见图8图8 电源电路数据显示电路LED显示器介绍在单片机应用系统中,为了控制系统的工作状态,以及向系统中输入数据和信息,系统应设有按键或键盘。

为了观察和监视键盘输入的信息,为了了解系统的工作情况以及得到系显示器。

LED统完成任务的结果,系统应设有显示装置。

单片机最常用的显示装置是图9 LED管脚配置图LED显示器原理LED显示块是由发光二极管显示字段的显示器件。

相关文档
最新文档