EDA技术实验指导书
EDA实验指导书
EDA技术实验指导书2012.09第一章针对HDL设计的EDA基本实验与设计实验1.计数器设计(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。
掌握原理图与文本混合设计方法。
(2) 实验原理:参考教材[1]3.3节。
实验程序为例(3) 实验内容1:根据教材[1]的4.1节在Quartus II上对例3-22进行编辑、编译、综合、适配、仿真。
说明例中各语句的作用。
给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括RST、EN、LOAD、DA TA,CLK等信号等异步和同步特性。
查阅编译后的计数器的时序特点,从时序仿真图和编1 原理图示意图译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情况,给出分析报告。
(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。
引脚锁定后进行编译、下载和硬件测试实验。
将实验过程和实验结果写进实验报告。
硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DA TA等的同步、异步特性,进位信号等。
时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。
(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。
(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件*. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。
编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。
(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。
EDA实验指导书1209
实验一QuartusII软件应用一、实验目的1、熟悉EDA开发平台的基本操作。
2、掌握EDA开发工具的图形设计方法。
3、掌握图形设计的编译与验证方法。
二、实验仪器PC机一台QuartusII软件三、实验内容1、实验原理图:建立一个4-bit 计数器图形设计文件(如图1.1示);图 1.1 图形设计例图利用向导创建一个新器件(6位全加器:使能、流水线等参数自行设定)。
2、实验步骤:①新建一个文件夹,一般在F盘里。
②打开QuartusII软件,选择File/New,在弹出的窗口中选Device Design Files选项卡,再选择Block Diagram/Schematic 选项,单击OK后打开图形编辑窗口。
③选择File/Save As命令,保存文件在已经创建的文件夹里。
当出现询问是否创建工程的窗口,应当单击是进入创建工程流程,否则要重新创建工程把文件添加进去。
④打开工程中的原理图文件,在原理图编辑窗口的任何一个位置右击,将出现快捷菜单,选择Insert /Symbol命令,出现元件输入对话框,选择相应的器件,并连接好电路,然后分别在input和output 的PIN NAME上双击使其变黑色,再分别输入引脚名。
⑤选择Processing/Start Compilation命令,进行全程编译。
⑥打开波形编辑器,选择File/New,在New中选择Other Files中的 Vector Waveform File 选项,单击OK,出现空白的波形编译窗口⑦选择File/Save As命令,存盘。
文件名一定要与原理图文件名一致。
然后添加相应的端口信号节点到波形编辑器中,设置合理的输入信号。
⑧选择Processing/Start Simulation,进行波形仿真。
⑨选择Tools/MegaWizard Plug-In M anager,根据向导提示创建一个6位全加器。
3、实验结果记录:打印出实验原理图与仿真波形图,打印出利用向导创建的新器件的图形,完成实验报告四、实验研究与思考1、延迟时间分析、最高工作频率分析等时间分析有何重要性?2、流水线的作用是什么?对那些性能有影响?2、功能仿真、验证起到什么作用?实验二VHDL软件设计一、实验目的1、熟悉EDA开发平台的基本操作。
电子设计自动化eda实验指导书样本
电子设计自动化(EDA)实验指引书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗可编程逻辑器件不断推出,使得专用集成电路(ASIC)生产商感受到空前竞争压力。
另一方面,浮现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路设计效率,使更低成本、更短周期复杂数字系统开发成为也许。
于是一场ASIC 与FPGA/CPLD之争在所难免。
然而PLD器件具备先天竞争优势,那就是可以重复编程,在线调试。
EDA技术正是这场较劲推动引擎之一。
普通来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目的器件,以电子系统设计为应用方向电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选取目的器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大某些工作由EDA软件完毕。
全球许多知名可编程器件提供商都推出了自己集成开发工具软件,如Altera公司MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司Foundation 、ISE软件,Lattice公司ispExpert 软件,Actel公司Libero软件等。
这些软件推出,极大地增进了集算法设计、芯片编程、电路板设计于一体EDA技术发展。
此外,在以SOC芯片为目的器件电子系统设计规定下,可编程器件内部开始集成高速解决器硬核、解决器软核、DSP模块、大量存储资源、高速串行收发模块、系统时钟管理器、多原则I/O接口模块,亦使得设计者更加得心应手,新一轮数字革命由此引起。
EDA技术是一门实践性很强学科,要培养出具备竞争力一流IC 设计人才,动手能力是核心。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板灵活构造,可以便进行基于不同PLD芯片实验开发,并易于升级,符合当前高校在此方面对人才培养规定。
EDA实验指导书全
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
EDA实验指导书
1.1
5
EDA 技术实验指导书
4. MAX+PlusII 的使用: 安装好后, 第一次运行 MAX+PlusII 时还需要多许多的工作才能保证软件的正常运行。 (1)在 MAX+PlusII 的软件目录下,双击 MAX+PlusII 10.2 BASELINE 的图标。第一 次运行时屏幕上会出现关于授权协议的提示窗口,如图 1.2 所示。 (2)阅读完授权协议信息以后,选择 Yes,出现防止复制的警告信息。选择 No,则 退出 MAX+PlusII。 (3)将你所申请的授权码文件 License.dat 文件拷贝到\ maxplus2 的文件夹下面。 (4)设置授权码的过程是:在 MAX+PlusII 界面中,选择菜单命令 Options/License Setup,出现 1.3 的所示的对话框,通过 Browse 按钮选择 License .dat 文件。 (5)是设置好授权文件后,选择 OK 按钮,就会回到 MAX+PlusII 管理器界面中进 行设计了。
1.2
1.3
6
EDA 技术实验指导书
MAX+PlusII 图形设计输入方法
1. 指定设计项目名称 MAX+PlusII 编译的工作对象是项目,所有在进行一个逻辑设计的时候,要指定设计的 项目名称,每个项目都应该建立一个单独的子目录 (只能是数字或者是英文字符不能是中 文),对于初学者而言,每个设计必须要有一个项目名,并且保证项目名和设计文件名一致。 2. 建立新文件 (1) 在 File 菜单中选择 New,如图 2.1 所示,将出现 New 的对话框,如图 2.2 所示
4
EDA 技术实验指导书
MAX+PlusII 的安装
《EDA技术》实验指导书
EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。
二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。
EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。
启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。
图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。
EDA技术基础实验指导书
实验一EDA工具基本操作与应用一、实验目的1、通过一个简单的D触发器的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。
2、初步了解可编程器件设计的全过程。
二、主要仪器设备EDA实验系统一台,EDA/SOPC实验系统一台三、实验步骤QUARTUSII软件的基本操作与应用1、运行QUARTUSII软件。
2、选择File/New Project Wizard,新建一个工程,并点击Next。
图:1-13、指定工作目录及工程顶层设计实体名称,如图1-1所示,并点击2次Next。
4、选择FPGA器件,如图1-2所示,并点击Finish,工程文件建立结束。
图:1-25、点击File/New,新建一个VHDL文件,如图1-3所示。
图:1-36、点击Ok,并保存,无需任何修改,点击Ok即可。
7、按照自己的想法在新建的VHDL文件中编写VHDL程序,如D触发器程序代码,如图1-4所示。
图:1-48、代码书写结束后,选择Processing/Start Compilation对编写的程序代码进行编译,直至编译通过,否则对程序代码进行修改。
9、编译通过后,选择File/New,在弹出的对话框中点击Other Files,选择Vector WaveformFile,并点击OK,建立一个波形文件,如图1-5所示,保存波形文件。
10、在波形文件加入输入输出端口,如图1-6所示。
图:1-611、对加入到波形文件中的输入端口进行初始值设置,并点击Processing/Start Simuliation 进行仿真。
查看仿真结果是否符合要求。
12、仿真无误后,选择Assignments/Assing Pins对实验中用到的管脚进行绑定分配,如图1-7所示。
图1-713、对于复用的引脚,需做进一步处理,使其成为通用I/O。
14、最后再编译一次,编译无误后,用下载电缆通过JTAG接口将对应的dff2.sof文件下载到FPGA中。
EDA技术实验指导书
《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。
通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。
二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。
三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。
目录前言............................................................... 第一章实验系统.....................................................1.1 系统整体结构.................................................1.2 核心板.......................................................1.3 基础扩展模块.................................................1.4 自动控制模块.................................................1.5 信号处理模块.................................................1.6 通信接口模块................................................. 第二章开发平台简介.................................................2.1 Quartus II简介...............................................2.2 Quartus II开发流程........................................... 第三章实验项目.....................................................实验1 平台应用及全加器设计.......................................实验2 信号发生器设计.............................................实验3 数字电压表设计.............................................实验4 数字频率计设计.............................................实验5 交通灯控制器设计...........................................第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。
EDA 实验指导书
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
EDA技术实验指导书
数字系统EDA技术实验指导书EDA课程组序言《EDA技术及应用实验指导书》是与理论课程《EDA技术及应用》配套开出的,是电子信息类专业的一门专业实验课程,对电子信息类专业的学生具有非常重要的作用。
本实验课与理论课同时进行,同学们在做本实验之前必须具备以下的基础知识:1、数字电路的基础知识;2、电子计算机常用操作系统的使用方法;主要12、本实验课的目的学生学习完本实验课后,应达到如下的要求:1)能熟练使用本实验的配套EDA软件QuartusⅡ、ModelSim;2)掌握PLD 芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字系统设计;3)掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑;4)具备基本的开发能力,为后续学习打下坚实的基础。
实验规则为了维护正常的实验教学次序,提高实验课的教学质量,顺利的完成各项实验任务,确保人身、设备安全,特制定如下实验规则:一、实验前必须充分预习,完成指定的预习内容,并写出预习报告,预习要求如下:1、认真阅读本实验指导书,分析掌握本次实验的基本原理;2、完成各实验预习要求中指定的内容;3、熟悉实验任务。
二、实验时,认真、仔细的写出源程序,进行调试,有问题向指导老师举手提问;调试成功准备下载时,必须请示指导老师,得到允许方可下载。
三、实验时注意观察,如发现有异常现象(电脑故障或实验箱故障),必须及时报告指导老师,严禁私自乱动。
四、实验过程中应仔细观察实验现象,认真记录实验数据、波形、逻辑关系及其它现象,记录的原始结果必须经指导教师审阅签字后,方可离开。
五、自觉保持实验室的肃静、整洁;实验结束后,必须清理实验桌,将实验设备、工具、导线按规定放好,并填写仪器设备使用记录。
六、凡有下列情况之一者,不准做实验:1、没有写预习报告者;2、实验开始后迟到10 分钟以上者;3、实验中不遵守实验室有关规定,不爱护仪器,表现不好而又不服从管理教育者;七、实验后,必须认真作好实验报告,下次实验时交实验指导老师批阅。
EDA实验指导书
EDA实验指导书计算机科学与技术系2008.09目录实验一Quartus II 开发环境实验 (3)实验二半加器 (18)实验三全加器 (19)实验四多路数据选择器 (21)实验五编码器 (23)实验六7段数码管控制接口 (25)实验七计数器 (28)实验八MOORE机 (30)实验九MEALY机 (34)实验十串入/并出移位寄存器 (38)实验十一并入/串出移位寄存器 (40)实验十二数字钟 (42)实验一Quartus II 开发环境实验一、实验目的:1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解可编程器件设计的全过程。
二、实验步骤:Quartus II软件的基本操作与应用三、实验内容:学习Quartus II软件的基本操作并设计3人表决器一.Quartus II 7.2 启动◆方法一、直接双击桌面上的图标,可以打开Quartus II 7.2 软件;◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 7.2】→【Quartus II 7.2 TalkBackInstall】菜单命令,可以打开软件。
◆启动软件后,若你的电脑没有连接到Internet互联网,会出现提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。
点击〖确定〗继续,因为这不影响软件的正常使用。
二.Quartus II 7.2软件界面Quartus II 7.2软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。
三.Quartus II 7.2软件使用1. 新建项目工程使用QuartusII7.2设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。
EDA技术实验指导书
实验一 QuartusII 软件使用方法一、QuartusII简介QuartusII是Aitera公司推出的新一代开发软件,适合于大规模逻辑电路设计。
QuartusII支持多种编辑输入法,包括原理图输入法,VHDL、VerilogHDL 和AHDL的文本输入法,符号编辑输入法,以及内存编辑输入法。
QuartusII与MATLAB和DSP Buider结合可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具,与SOPC Buider结合,可实现与SOPC系统开发。
二、设计流程2.1设计流程图图1-12.2创建工程首先建立工作库目录F:\ 0620101 \ sy1.(注意:文件夹名不能用中文。
)执行菜单File\New Preject Wizard命令,对“工程设置”对话框进行设置。
图1-2 工程设置图中第一行表示工程所在的工作库文件夹,第二行表示此项工程的工程名,工程名可以取任何其它的名,也可直接用顶层文件的实体名作为工程名,第三行是当前工程顶层文件的实体名。
单击Finish按钮,在工程管理窗中可以看到本工程项目的层次结构和各层次的实体名。
2.3设计输入2.3.1 VHDL文本输入选择菜单File\New,在New窗口中的Device Design Files中选择文件的类型为VHDL File (如图1-4所示)。
在VHDL文本编译窗中输入VHDL程序后保存,存盘文件名应该与实体名一致,扩展名为*.vhd。
图1-4 文件类型选择2.3.2 原理图输入在图 1-4中选择Block Diagram/Schematic File进入原理图编辑器。
如图1- 5所示。
图1-5 原理图编辑执行命令:Edit\Insert Symbol 或双击鼠标左键,将出现元件选择对话框,如图1-6。
进行器件选择和输入逻辑电路符号及进行电路连接,如图1-7。
绘制完成后,点击保存按钮,对原理图进行保存,扩展名为*.bdf。
EDA技术实验指导书
《EDA技术》实验指导书电子信息工程教研室编写第一章EL-SOPC4000实验系统的资源介绍一、系统功能概述EL-SOPC4000实验箱是集EDA 和SOPC 开发为一体的综合性实验箱,它不仅可以独立完成各种EDA 设计,也可以完成多种SOPC开发。
主CPU适配器E-PLAY-SOPC配合EL-SOPC4000底板,可完成各种基本的EDA实验。
在实验板上有丰富的外围扩展资源,有常用的按键,拨码开关,LED灯,蜂鸣器,交通灯,16x16点阵,数码管,4x4矩阵键盘,AD/DA,CAN功能单元,RS232,RS485,可调时钟输出。
实验板上还集成了一个8寸的VGA接口的液晶屏,可完成视频图像的显示。
由于CPU 适配器E-PLAY-SOPC本身具有E_PLAY接口,只需提供电源即可独立完成功能测试,也可控制用户开发的E_PLAY接口模块。
由于EL-SOPC4000底板加入了两路E_LAB外扩接口,可以配合公司现有的多种E_LAB模块,来完成大学生毕业设计、电子设计竞赛、及创新设计,同时该系统也是从事教学及科研的广大教师和工程师们的理想开发工具,具有极高的灵活性,开放性和可开发性。
EL-SOPC4000布局如下图所示:EL-SOPC4000底板资源平面图EL-SOPC4000实验箱是集EDA和SOPC 开发为一体的综合性实验箱,它不仅可以独立完成各种EDA设计,也可以完成多种SOPC开发。
EL-SOPC4000支持的CPU板卡有:具有E_PLAY接口的E-PLAY-SOPC适配器,主芯片采用Altera公司的CycloneII系列E-PLAY-EP235,CycloneIII系列E-PLAY-EP3C25-B、E-PLAY-EP3C80,CycloneIV系列E-PLAY-EP4CE22。
二、系统硬件资源1、EL-SOPC4000实验系统的硬件资源总览☆E-PLAY CPU板接口单元☆ E_LAB模块接口单元(2组)☆ 16个用户IO单元☆ 16个按键单元☆ 16个拨码开关单元☆ 4x4矩阵键盘单元☆ 16X16 LED点阵显示单元☆ 8位数码管显示单元☆ 12个交通灯单元☆蜂鸣器及4个LED声光单元☆ 8位用户LED单元☆可调时钟输出单元☆ RS232 、RS485接口单元☆ 10位串行AD(TLV1570)单元☆ 10位串行DA(TLV5617)单元☆ CAN总线接口单元☆ 8寸VGA接口液晶屏单元(带触摸屏)2、底板资源的具体介绍1)PORT A信号分配PORT B信号分配说明:标有“NC”的引脚,表示没有用到适配器上的引脚;2)E-LAB总线接口底板上的两组E-LAB接口上的信号线完全相同。
EDA技术实验指导书(印刷版)
EDA技术实验指导书长沙学院电子与通信工程系2007年8月目录实验一简单逻辑电路实验 (1)实验二2选1多路选择器 (4)实验三D触发器设计 (5)实验四1位二进制全加器设计 (6)实验五4位加法计数器 (9)实验六7段数码显示译码器设计 (10)实验七带有复位和时钟使能的十进制计数器 (12)实验八带有并行置位的移位寄存器 (14)实验九较复杂电路的原理图设计 (16)实验十数控分频器的设计 (18)实验十一8位十六进制频率计设计 (20)实验十二基于LPM_COUNTER的数控分频器设计 (23)实验十五正弦信号发生器设计与LPM定制 (31)附录 (33)实验一简单逻辑电路实验一、实验目的1.学习Create-SOPC实验平台的使用方法;2.熟悉Quartus II 软件平台和使用VHDL 语言设计电路的方法;3.学习简单逻辑电路的设计、仿真和硬件测试。
二、实验内容运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。
三、实验步骤及参考程序1.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example2.运行Quartus Ⅱ软件。
3.创建一个VHDL设计文件:File -> New,在Device Design Files 中选择VHDL File。
在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。
图1-1 图1-24.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。
EDA技术实验指导书
可编程逻辑设计实验指导书2010.9实验一利用原理图输入法设计简单组合电路一、实验目的:熟悉QuartusII软件界面, 学习简单组合电路的多层次化电路设计方法,掌握文本输入和原理图输入设计方法。
掌握时序仿真测试及测试结果分析的方法。
二、实验原理:三选一数据选择器可以由两个二选一数据选择器构成,原理图如图1 所示。
图1 三选一数据选择器上图中,二选一数据选择器MUX21A的功能如下:当s=0时,y=a;当s=1时,y=b 。
两个MUX21A 如上图连接后,实现三选一功能s1s0=00,outy=a1;s1s0=01,outy=a1;s1s0=10,outy=a2;s1s0=11,outy=a3。
三、实验内容:1.利用QuartusⅡ完成2选1多路选择器MUX21A的文本编辑输入,然后编译、仿真,检查程序设计正确无误后,生成一个元件待用。
给出文本设计文件和仿真波形图。
entity mux21a isport (a,b:in bit;s:in bit;y:out bit);end entity mux21a;architecture one of mux21a isbeginy<=a when s='0' else b;end architecture one;INCLUDEPICTURE "C:\\Documents and Settings\\Administrator\\Application Data\\Tencent\\Users\\970546411\\QQ\\WinTemp\\RichOle\\97Y2MLW9QPY[F5VI2 9$OZ{2.jpg" \* MERGEFORMATINET2.利用原理图输入法,按照图1进行连线,完成三选一电路的设计。
然后编译、仿真测试,结果正确后锁定管脚,下载到FPGA芯片中,进行硬件测试。
给出原理图设计文件和仿真设计图。
EDA技术实验指导书
电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。
目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。
二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。
1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。
从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。
1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。
《EDA》实验指导书
EDA技术与应用实验指导书实验一MAX+PLUSII 软件使用实验一 实验目的1 熟悉MAX+PLUSII 软件的使用2 了解图形法的设计过程 二 实验内容1 在MAX+PLUSII 环境下,执行“File ”→“New ”命令,弹出如图1.1所示的“编辑文件类型”对话框,选择“Graphic Editor file ”后单击“OK ”按钮,进入MAX+PLUSII 图形编辑方式,其界面如图1.2所示。
图形编辑界面中的空白处,即原理图编辑区,相当于一张空白图纸,设计者可以在此画出自己的电路设计图。
图1.1 编辑文件类型对话框图形编辑器文件 符号编辑器文件 文本编辑器文件 波形编辑器文件图1.2图形编辑器界面在原理图编辑区的任何一个空白位置上双击鼠标的左键,将弹出一个“元件选择”对话框,如图1.3所示。
调出所需的器件后,连线,修改输入输出引脚的名称,存盘。
原理图如下:由此输入所需的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函数元件库基本逻辑元件库的元件图1.3 元件选择对话框 图1.4 模12进制原理图2 编译执行“File ” →“Project ” →“Set Project Current File ”。
单击“MAX+plusII ” →“Compiler ”,在弹出的编译对话框中单击“Start ”按钮,即可对当前文件进行编译。
如果当前文件不存在问题,编译后的结果如图2.1所示。
在编译中,MAX+plusII 自动完成编译网表提取(Compiler Netlist Extractor )、数据库建立(Database Bulder )、逻辑综合(Logic Synthesizer )、逻辑分割(Partitioner )、适配(Fitter )、延时网表提取(Timing SNF Extractor )和编译文件汇编(Assembler )等操作,并检查设计文件是否正确。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。
通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。
二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。
三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。
目录前言 (I)第一章实验系统 (1)1.1 系统整体结构 (1)1.2 核心板 (1)1.3 基础扩展模块 (2)1.4 自动控制模块 (3)1.5 信号处理模块 (3)1.6 通信接口模块 (4)第二章开发平台简介 (5)2.1 Quartus II简介 (5)2.2 Quartus II开发流程 (5)第三章实验项目 (9)实验1 平台应用及全加器设计 (9)实验2 信号发生器设计 (11)实验3 数字电压表设计 (13)实验4 数字频率计设计 (16)实验5 交通灯控制器设计 (19)第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。
1.2 核心板核心板采用Altera公司的EP4CE22E22C8N芯片,具有低内核电压、低功耗的特点。
芯片内部具有22320个逻辑单元,594kbit RAM嵌入式储存器,66个嵌入式18×18乘法器,4组通用PLL。
1、DIP开关主要功能是控制高低电平,通过手动控制为系统提供稳定的逻辑信号。
系统总共提供了3位拨档开关,当开关的档位在上方时则输出高电平“1”,反之则为低电平“0”。
2、复位开关复位开关可以通过手动控制为系统提供脉冲信号。
在系统中一共提供了5位的按键开关,当按下键后其输出为低电平“0”,反之则为高电平“1”。
3、发光二极管LED由一片74HC573锁存器驱动,74HC573使能后,IO口输出高电平LED点亮,反之LED则熄灭,可以模拟二进制数据输出。
4、数码管采用2位共阴极数码管,由一片74HC573锁存器驱动数码管段选,由两个三极管S8050驱动数码管位选,用于显示两位数值。
5、蜂鸣器由1个无源蜂鸣器和1个三极管S8050组成。
6、储存在本系统中采用1个32M×8位的串行Flash W25Q256,通过对其编程控制,进行数据储存与提取。
如:语音存储与回放实验。
7、时钟使用50MHz有源晶振,可以为AD/DA和数字频率计提供参考时钟。
1.3 基础扩展模块基础扩展模块有时钟模块、数字信号源、数码管模块、矩阵键盘模块、红外模块和温度模块。
1、时钟模块时钟模块中的主芯片是DS1302,DS1302由VCC1或VCC2(备用电池)两者中的较大者供电。
当VCC2大于VCC1+0.2V时,VCC2给DS1302供电。
当VCC2小于VCC1时,DS1302由VCC1供电。
X1和X2是振荡源,外接32.768kHz晶振。
RST是复位/片选线,通过把RST输入驱动置高电平来启动所有的数据传送;I/O为串行数据输入输出端(双向);SCLK始终是输入端。
2、数字信号源数字信号源由74HC04反相缓冲器、74HC163计数器、74HC4060计数器组成,24MHz晶振通过74HC04反相缓冲器输出5V,24M正弦波,通过74HC163二、四、八分频,74HC4060也是实现分频,其分频输出的频率都在模块的相应位置查看。
3、数码管模块数码管模块使用的是4位共阴数码管,由两片74HC573驱动位选信号以及段选信号。
74HC573当锁存使能端LE为高时,这些器件的锁存对于数据是透明的(也就是说输出同步)。
当锁存使能变低时,符合建立时间和保持时间的数据会被锁存。
OE为片选端。
4、矩阵键盘模块4×4键盘主要是通过编程实现0~F的输入,也可以作为一个控制键。
其中行占用4个I/O,列占用4个I/O。
5、红外模块红外模块中由红外接收头HS0038和一个上拉电阻组成,红外接收头的工作频率为38kHz。
当红外接收头接收到38kHz的信号时输出低电平“0”,反之输出高电平“1”,从而实现红外信号的解码。
6、温度模块温度模块由DS18B20和一个上拉电阻组成,DS18B20工作原理:刚启动时将进入低功耗等待状态,当需要执行温度测量和AD转换时,总线控制器发出[44H]指令完成温度测量和AD转换,DS18B20将产生的温度数据以两个字节的形式存储到高速暂存器的温度寄存器中,然后,DS18B20继续保持等待状态。
当DS18B20芯片由外部电源供电时,总线控制器在温度转换指令之后发起“读时隙”,从而读出测量到的温度数据通过总线完成与单片机的数据通讯,DS18B20正在温度转换中由DQ引脚返回0,转换结束则返回1。
1.4 自动控制模块自动控制模块有交通灯、步进电机和直流电机控制,其控制引脚均已标注在自动控制模块相应位置。
1、交通灯控制交通灯控制为模拟十字路口交通灯的控制,有红、绿、黄3种指示灯,共4个方向,分12个IO口控制。
LED灯的负极接在FPGA的IO口上,正极通过一个1K的限流电阻接在+3.3V电源上,LED指示灯的亮灭通过控制相应的IO口输出电平来实现。
2、步进电机控制通过将脉冲信号转变成角位移实现步进电机的精确定位,共用到4个IO口。
控制其正反转时IO口需按照一定顺序输出高低电平。
步进电机的驱动芯片为L293DD,有4个输入引脚4个输出引脚,输入输出引脚的电平关系是一一对应的,每个输出引脚能输出600mA的电流。
3、直流电机控制直流电机的控制采用脉冲宽度调制技术(PWM)。
基本原理是在固定周期内,高电平占的比重越多,电机转速就越快。
通过PNP三极管S8550提供大电流驱动直流电机,当IO口为高电平时,三极管不导通,反之则导通。
1.5 信号处理模块信号处理模块有AD采集、DA输出、语音采集、语音回放和电压调节部分。
1、AD采集AD采集部分是由AD9280采集芯片采集,信号通过SMB接口输入AD8065调节后送入AD采集。
AD9280是一款单芯片、8位、32 MSPS模数转换器(ADC),采用单电源供电,内置一个片内采样保持放大器和基准电压源。
它采用多级差分流水线架构,数据速率达32 MSPS,在整个工作温度范围内保证无失码。
语音采集部分由前级放大电路和300Hz~3.4Khz带通滤波电路组成,语音信号可由MIC接口或驻极体咪头输入,经放大电路后滤波输出至AD部分采集。
电压调节部分是由5V加在可调电阻上,实现输出电压0~5输出可调。
通过输入AD采集前级电路调节偏置后输入AD采集。
2、DA输出DA输出部分是由AD9708芯片差分输出,信号通过七阶巴特沃斯滤波后经过AD8065差分相减后再经AD8065放大后由SMB接口输出。
AD9708是TxDAC系列的8位分辨率成员系列的高性能,低功耗的CMOS数字-模拟转换器(DAC)。
该TxDAC 系列包括针兼容8位,10位,12位,14位DAC,是专门opti-而得到优化用于通信系统的发射信号路径。
所有的设备共享相同的接口选项,小外形封装和引脚分布,从而提供了一个向上或向下的根据性能,分辨率选择适合的器件和成本。
AD9708提供出色的交流和直流性能同时支持更新速率高达125MSPS。
语音回放部分由滤波电路及功率放大LM386部分组成。
信号由喇叭输出。
语音信号由DA输出至滤波电路滤波,可通过调节阀调节信号幅度。
1.6 通信接口模块通信接口模块有RS232接口、PS/2接口、RS485接口、USB接口、VGA接口。
其信号输入输出均已标注在通信接口模块相应位置。
1、RS232接口RS232接口通过一个标准的DB9母头和外部的串口线连接带有串口的电脑或者其他设备,实现RS232串口通信。
2、PS/2接口PS/2接口用于连接电脑鼠标和键盘等PS/2设备,通过PS/2口,仅仅需要两个IO 口,就可以扩展一个键盘。
3、RS485接口RS485接口通过MAX485芯片实现逻辑电平转换,通过两个端口和外部RS485设备连接,即A和B,不需要GND,可实现多点双向通信,数据传输距离可达千米。
4、USB接口USB接口采用PL2303来实现USB转串口,同时对串口信号设置了两个LED指示灯,用于指示串口数据收发。
5、VGA接口VGA接口主要是通过编程实现VGA视频信号在监视器上显示,其中包含R、G、B、HS、VS来控制视频显示。
第二章开发平台简介2.1 Quartus II简介Quartus II是Altera公司的综合性EDA开发软件,支持原理图、VHDL、Verilog HDL和AHDL等设计模式,内嵌综合器和仿真器,可以完成从设计输入到硬件配置的完整设计流程。
Quartus II支持Altera的IP核,集成了LPM/MegaFunction宏功能模块库,简化了设计的复杂性、加快了设计速度。
用户可以使用第三方EDA工具。
2.2 Quartus II开发流程一、创建工程1、建立工程目录新建一个文件夹,用于存放工程文件。
文件路径中不能包含中文。
2、新建工程单击菜单File | New Project Wizard。
指定工程目录、名称和顶层设计实体,选择目标芯片。
将工程视图切换到Files标签。
3、新建文件单击工具栏上的按钮或菜单File | New。
选择Design Files下的Block Diagram/Schematic File,新建原理图文件。
选择Design Files下的Verilog HDL File,新建源程序文件。
选择Memory Files下的Hexadecimal File或Memory Initialization File,新建内存初始化文件。
选择Verifica/Debugging Files下的Unive Program VWF,新建仿真波形文件。
在原理图编辑窗口双击左键,即可打开插入元件对话框。
展开元件所在的类的图标,或直接在Name框中输入元件名称,可找到需要的元件。
单击MegaWizard Plug-In Manager按钮,即可启动宏功能定制向导。
4、保存文件单击工具栏上的按钮或菜单File | Save As,保存文件。
在工程视图中,如果没有所需文件的图标,选中Files图标,单击右键后选择Add/Remove Files in Project。