数字篮球计分器电路设计
篮球比赛计分计时电路
目录一课程设计的目的和要求 (2)二设计正文 (2)三器件选择 (7)四测试结果 (7)五课程设计总结和心得体会 (8)一、课程设计的目的和要求(1)课程设计目的:1)熟悉中规模集成可逆计数器,译码器和显示器的功能; 2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣; 3)了解数字系统的实验及调试方法,以及一般故障的排除方法。
(2)课程设计要求:设计一个篮球计分电路,能够实时记录篮球比赛过程中产生的分数,具体要求如下:基本功能:1)比分为二位十进制数(即可显示0-99分),用LED 数码管显示分数。
2)使用键盘控制比分增减,可以实现1、2、3分的增减。
3)可以强制清零,可以随时输入给定的分数。
二、设计正文设计思路:根据篮球计分器的的工作原理,设计原理图是为了计分方便,分别是1,2,3分的累加。
利用CT74LS160集成计数功能,分别设计二进制,四进制,六进制的计数器功能,给CT74LS190做累加,CT74LS192的CP 端输入的上升沿来实现计数功能。
二进制的产生一个上升沿,四进制产生两个上升沿,六进制的产生三个上升沿,就可以实现计分的功能。
2.1 方案分析图1 篮球比赛记分计时电路基本功能方框图2.2 单元电路的设计2.2.1加1分电路CP反馈端图2 加1分电路2.2.2 加2分电路CP反馈端图3 加2分电路2.2.3加3分电路CP反馈端图4 加3分电路2.2.4脉冲产生电路图5 CP脉冲产生电路2.3 总体电路图VCC5VVCC5VU8LM555CMGND1DIS 7OUT3RST 4VCC8THR 6CON5TRI 2R14.8kΩR24.8kΩC1100nFC210nFGNDU174LS160DQA 14QB 13QC 12QD 11RCO15A 3B 4C 5D 6ENP 7ENT 10~LOAD 9~CLR 1CLK2U274LS160DQA 14QB 13QC 12QD 11RCO15A 3B 4C 5D 6ENP 7ENT 10~LOAD 9~CLR 1CLK2U374LS160DQA 14QB 13QC 12QD 11RCO15A 3B 4C 5D 6ENP 7ENT 10~LOAD 9~CLR 1CLK2J1Key = 1J2Key = 2J3Key = 3U4DCD_HEX_DIG_REDU5DCD_HEX_DIG_REDU1074LS190D A 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD7~CTEN 4~LOAD 11~RCO13MAX/MIN 12CLK14U1174LS190DA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD7~CTEN 4~LOAD 11~RCO13MAX/MIN 12CLK14VCC5VVCC5VJ4Key = AJ5Key = SU16A 74S00NU17A 74S00NU14A 74S00NU12OR3XSC1ABCDGT图6 总体电路图2.4 电路工作原理本电路采用74LS190、74LS160作为本设计的核心元件。
数电课程设计-篮球计分器
XXXXX大学数字系统课程设计报告题目:数字篮球计分器电路设计学年:2016 学期:第一学期专业:网络工程班级:XXXX学号: xxxxxxxxX生名: XXXX指导教师及职称:XXXX讲师时间:2016年10月15日-2016年10月19日XXXXXX学院设计课题题目: 数字篮球计分器电路设计一、同组成员:XXX XXX XX XXX 组长:XXXX二、设计任务与要求1. 分别记录两队得分情况;2 •进球得分加2分或3分,罚球进球得分加1分;3. 纠正错判得分减3分、2分或1分;4. 分别用三个数码管显示器记录两队的得分情况;功能描述:1、加分功能,当按下相应的按键开关S1、S2、S3时,分别可以进行加1、2、3 分;2、减分功能,当将加减分置换开关S4拨到减分档时,按下开关S1、S2、S3, 可以进行减分操作;3、清零功能,当按下S0时,可以将积分清为零。
二、电路原理分析与方案设计据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。
用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。
译码器显示器用于显示分数。
方案设计:1. 总体设计思路(含电路原理框图):电路的核心模块是加减分和累加积分电路的设计,我们采用的总体方案是,用时钟信号电路产生的脉冲信号给加减分电路提供时钟信号,之后将加减分电路发出的信号接到累加器上进行总分的累加,然后在数码显示电路上显示出来。
电路的原理框图如下图所示:1. XXX:脉冲电路设计与总体电路设计2. XXX :总体电路设计与脉冲电路设计3. XXX :译码器设计与部分电路仿真4. XXX :计数器设计与总体电路仿真5. XXX :控制电路设计与资料查阅6. XXX :控制电路设计与实验报告整理 2. 主要元件介绍⑴二进制加法计数器74LS16074LS160引脚图①管脚图介绍:74LS160为可预置的十进制同步计数器 其管脚图如图所示RCO 进位输出端时钟信号电] 1 \加/减二分电 1累加记分电 ]1F-数码显示电TT_________________加/减三分电「加减置换开加/减一分电鉴于电路可以分为多个模块,因此我们将设计任务分配到每一位组员如下:ENP 计数控制端 ENT 计数控制端 A-D输入端QA-QD输出端 CLK 时钟输入端CLR异步清零端,低电平有效LOAD 同步并仃置入端,低电平有效② 工作方式选择表:输入1:作模式ent LOADENT吋钟 CLKL X X X X消零 1H [, % X tH H HHt计数 HLXX 保持(不茂〉 HHX LX保椅(车变、沪高电甲L=低电平 Q 不宦(為或低电平) W 低” 一*•陳T 电平的跌变⑵ 十进制可逆计数器74LS192引脚图管脚及功能表74LS192 是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置 数等功能,其引脚排列及逻辑符号如图所示:(b)74LS192的引脚排列及逻辑符号V QC 巾 MR TQ)PL 巴 卩 3冏岡网 [而|¥]阳[¥|J JI^JL A JL L ILJL L II J JL L I Pl Qi 询 CP D CPy g g GND15 io5 3 1T 14P0Q P1 Qi P2 Q2 P3Q3CPuTCUCP D TC DPLMR121374LS192的引脚说明: PL 为置数端 CPu 为加计数端 CPD 为减计数端为非同步进位输出端 二为非同步借位输出端在 其功能表如下:(3)七段显示器半导体数码管是由七段发光二极管组成,简称LED 共阴共阳的判断: 可以假设它是共阳的,那么任一段串入一个100欧姆电阻到5V,相应段就会被点亮; 否则为共阴的数码管。
篮球计分器课程设计
郑州科技学院《数字电子技术》课程设计题目篮球比赛计分的设计学生姓名专业班级通信工程学号院(系)指导教师完成时间 2014年12月 5日目录1 课程设计的目的 (1)2 课程设计的任务与要求 (1)3 设计方案与论证 (1)4 单元电路的设计 (2)4.1 单元电路 (2)4.2 电路的设计 (4)5 硬件的制作与调试 (6)6 总结 (7)参考文献 (10)附录1:总体电路原理图 (11)附录2:元器件清单 (12)附录3:实物图 (13)1 课程设计的目的(1)熟悉中规模集成可逆计数器,译码器和显示器的功能;(2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣;(3)了解数字系统的实验及调试方法,以及一般故障的排除方法。
(4)了解555定时器的功能作用和分类。
2 课程设计的任务与要求(1)电路具有加1分、加2分、加3分功能。
(2)电路具有减分功能。
(3)显示总分功能,用三位LED显示器,最高可现实999。
(4)显示器可清零。
3 设计方案与论证据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。
用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。
3片七段共阴极数码管构成显示起用于显示分数。
设计方案有多种,这次找出两种方案如下:方案1:数字逻辑电路控制方案数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。
缺点在于功能实现后电路结构复杂,维护起来比较困难。
在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具有价格低,元件少,体积小,稳定性好,可靠性高的特点。
因此,在本设计上采用数字逻辑电路方案。
方案2:单片机系统控制方案单片机是核心控制元件,利用编程语言对其功能的设计。
篮球计分器模电课程设计
篮球计分器模电课程设计一、课程目标知识目标:1. 让学生掌握模拟电路的基本原理,理解篮球计分器模电系统的组成及其工作原理;2. 使学生了解并掌握数字显示、计数、清零等电路功能,及其在篮球计分器中的应用;3. 引导学生运用所学的模电知识,分析并解决实际电路问题。
技能目标:1. 培养学生动手搭建和调试篮球计分器模拟电路的能力;2. 培养学生运用所学知识解决实际问题的能力;3. 提高学生的团队合作能力和实验操作技能。
情感态度价值观目标:1. 培养学生对电子技术的兴趣和爱好,激发他们的求知欲和创新精神;2. 培养学生尊重科学、严谨求实的态度,养成良好的实验习惯;3. 引导学生关注科技发展,认识到电子技术在体育领域的应用价值。
课程性质分析:本课程为实践性较强的电子技术课程,结合篮球计分器这一实际案例,使学生能够将理论知识与实际应用紧密结合。
学生特点分析:学生年级为高中二年级,具备一定的物理和数学基础,对电子技术有一定的好奇心,动手能力较强。
教学要求:注重理论与实践相结合,鼓励学生动手实践,培养解决实际问题的能力。
通过课程教学,使学生能够达到上述课程目标,并在后续的学习和生活中发挥所学。
二、教学内容1. 理论知识:- 模拟电路基本原理;- 数字显示电路原理;- 计数器与清零电路的原理;- 篮球计分器电路系统组成及工作原理。
2. 实践操作:- 搭建数字显示电路;- 设计并搭建计数器电路;- 设计并搭建清零电路;- 集成篮球计分器模拟电路;- 调试与优化篮球计分器电路。
3. 教学大纲:- 第一阶段:模拟电路基本原理学习,占总课时的1/4;- 第二阶段:数字显示、计数器与清零电路原理学习,占总课时的1/4;- 第三阶段:篮球计分器电路系统设计与实践,占总课时的1/2。
4. 教材章节及内容:- 教材第四章:模拟电路基本原理;- 教材第五章:数字显示电路原理;- 教材第六章:计数器与清零电路;- 教材实验指导:篮球计分器模拟电路设计与实践。
单片机课程设计—篮球计时计分器
单片机课程设计—篮球计时计分器篮球作为一项广受欢迎的运动,其比赛的公平性和准确性至关重要。
而在篮球比赛中,计时计分器是不可或缺的设备,它能够为比赛提供准确的时间和比分信息,保障比赛的顺利进行。
本次单片机课程设计的任务就是设计一款篮球计时计分器,通过运用单片机的知识和技能,实现篮球比赛计时和计分的功能。
一、设计要求1、能够实现比赛时间的计时功能,包括 24 秒进攻时间、每节 10分钟的比赛时间以及加时赛时间。
2、能够实时显示比分,包括主队和客队的得分。
3、具备暂停、复位等功能,以应对比赛中的各种情况。
4、能够通过按键进行操作,简单易用。
二、系统方案设计1、硬件设计单片机选型:选择一款性能稳定、资源丰富的单片机,如STC89C52 单片机。
显示模块:采用数码管显示时间和比分,数码管具有亮度高、显示清晰、成本低等优点。
按键模块:使用独立按键实现计时、计分、暂停、复位等操作。
时钟模块:采用外部时钟芯片,为系统提供准确的时钟信号。
2、软件设计编程语言:选择 C 语言进行编程,C 语言具有语法简洁、可移植性好等优点。
程序流程:主程序负责系统的初始化、按键扫描、时间和比分的更新以及显示。
中断服务程序负责处理时钟中断,实现计时功能。
三、硬件电路设计1、单片机最小系统包括单片机芯片、晶振电路和复位电路。
晶振电路为单片机提供时钟信号,复位电路用于系统的初始化。
2、显示电路采用共阳极数码管,通过三极管驱动数码管的段选和位选信号,实现时间和比分的显示。
3、按键电路独立按键分别连接到单片机的 I/O 口,通过检测按键的状态来执行相应的操作。
4、时钟电路采用 DS1302 时钟芯片,通过单片机的 I/O 口与时钟芯片进行通信,获取准确的时间信息。
四、软件程序设计1、主程序首先进行系统初始化,包括单片机端口设置、数码管显示初始化、时钟芯片初始化等。
然后进入主循环,不断扫描按键状态,根据按键执行相应的操作,同时更新时间和比分,并将其显示在数码管上。
篮球比赛记分牌电路设计
中北大学
课程设计任务书
2Байду номын сангаас13/2014学年第一学期
学院:信息与通信工程学院
专业:电子信息科学与技术
学生姓名:学号:
课程设计题目:篮球比赛数字记分牌设计
起迄日期:2013年12月23日~2014年1月3日
6.郑步生,吴渭编.Multisim2001电路设计及仿真入门与应用.北京:电子工业出版社,2002
5.设计成果形式及要求:
1)电路原理图
2)课程设计说明书
6.工作计划及进度:
2013年12月23日~12月25日了解设计题目及熟悉资料;
12月26日~12月27日确定各题目要求计算相关参数;
12月28日~12月29日结合各题目确定具体设计方案;
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕:
(1)、画出电路图,并进行原理图的详细叙述,
(2)、给出元器件的型号、数值和元器件封装,画出PCB图
(3)、进行电路仿真,并给出仿真结果
(4)、写出符合格式要求的设计报告。
课程设计任务书
4.主要参考文献:
1.阎石.数字电子技术基础.北京:高等教育出版社,1998
课程设计地点:中北大学
指导教师:赵辉、李永红
系主任:程耀瑜
下达任务书日期: 2013年12月23日
课程设计任务书
1.设计目的:
针对电子线路课程要求,对学生进行实用型电子线路设计、安装、调试等各环节综合性训练,配养学生运用课程中所学的理论与实践紧密结合,独立的解决实际问题的能力。学生必须独立完成一个选题的设计任务。
篮球计时计分器设计
篮球计时计分器设计摘要单⽚机发展极为迅速,⾃从问世以来就以极⾼的性价⽐受到⼈们的关注,由于它体积⼩,环境适应性好,价格低,易开发,所以在各个领域都得到了推⼴。
⼴泛应⽤于智能仪器仪表、⼯业控制、家⽤电器、计算机⽹络、医疗设备、汽车设备等领域中。
当前世界上各⼤芯⽚公司都推出了⾃⼰的单⽚机,从8位、16位到32位等,但它们各具特⾊,互成互补,为单⽚机的应⽤提供了⼴阔的天地。
本次设计就是采⽤单⽚机AT89C51编程控制 7段共阴LED数码管作显⽰的篮球⽐赛计时计分系统。
在体育⽐赛的计时计分系统中包括测量类、评分类、命中类、制胜类、得分类等多种类型。
⽽篮球⽐赛时根据运动队在规定时间内得分多少来决定胜负的,因此篮球⽐赛的计时计分器是⼀种得分类型的系统。
本系统采⽤模块化设计,主体部分可分为计时显⽰模块、计分显⽰模块、定时报警模块、按键控制模块。
利⽤Keil C51软件进⾏编程,程序编写完成后通过编译⽣成HEX⽂件装⼊AT89C51芯⽚中,采⽤仿真软件Proteus检验功能是否能够正常实现。
最后采⽤Protel DXP画出电路原理图并⽣成印刷电路板图。
本系统由计时电路、计分电路、报警电路和控制电路四个电路组成。
篮球⽐赛计时计分器的主要功能有赛程时间设置、赛程时间启动/停⽌设置、⽐分交换控制和⽐分刷新控制等。
它具有很⾼的灵活性,同时操作步骤也很简单且具有低功耗,可靠性,安全性以及低成本等特点。
关键词:单⽚机;篮球⽐赛计时计分器;AT89C51AbstractSCM develops rapidly since its inception to the high price people pay attention, because of its small size, adaptability to environment, low price, easy to develop, so in all fields have been promoted. Widely used in smart instrumentation, industrial control, home appliances, computer networks, medical equipment, automotive equipment, and other areas. Currently the world's major chip companies have launched their own microcontroller, from the 8-bit, 16-32, etc., but they are distinctive, each into a complementary application for the microcontroller provide a vast world。
数电设计_篮球记分牌
课程设计说明书课程名称:数字电子技术课程设计题目:篮球比赛电子计分牌学生姓名:陈锦贤专业:电子信息科学与技术班级:电子12-1学号: 09指导教师:杨艳日期: 2014 年 3 月 26日篮球比赛电子计分牌一、设计任务与要求设计一个符合篮球比赛规则的记分系统。
1.有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。
2.有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。
3.有比赛规则规定的其他计时、记分要求。
二、方案设计与论证1.记分电路对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。
电路要具有加分、减分及显示的功能。
当球队比赛得分时,用加法记分器通过控制脉冲分路加相应的分数。
如果裁判误判了,可以用减法计分器减掉误判的分数。
用三个计数器和三个半导体数码管LED进行对分数的统计和显示。
2.倒计时钟电路首先是全场的总倒计时电路,全场四十分钟,分四节,每节十分钟,每打完一节倒计时暂停,并伴随灯亮通知,进入休息时间,此段时间不予计算。
其次为每队持球进攻的时间为二十四秒,与总时间同步,此段计时电路具有重置开关,可对比赛球队进行持球时间判断。
再次为二十秒暂停时间,可用于比赛球队叫停,进行战术调整等,此时切换至暂停电路,总倒计时和持球时间暂停工作,当暂停时间完后,再次切换至总场时间电路。
三、单元电路设计与参数计算3总比赛时间电路从电路图可知,此部分电路是由四片74LS192组成的时序逻辑电路,对5进行预置数为1001,使得分显示为9,对7进行预置数为0101。
,显示为5,,对6进行置数0001,显示为1。
给一时钟脉冲9接至8DOWN,秒8的BO端输出至秒十位的7DOWN,进行60秒倒计时。
再将秒十位的BO输出接至分5DOWN,进行10分钟倒计时,分5的BO输出端接至节次6UP端,逐节递增至五后回归1重新开始另一场球赛的倒计时。
篮球比赛数字记分牌-数字电路
目录一、设计任务与要求 (1)二、设计中用到的元件清单 (1)三、总体方案的设计 (1)四、模块设计 (2)五、单元电路的设计 (3)六、总体电路图 (8)七、电路工作原理 (8)八、电路组装与调试 (8)九、分析与心得 (9)十、参考文献 (9)题目:篮球比赛数字记分牌一、设计任务与要求设计篮球比赛数字计分牌,以达到以下要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.纠正错判得分减2分或1分;4.分别用三个数码管显示器记录两队的得分情况。
二、设计中用到的元件清单CD40192(可预置BCD加/减计数器(双时钟)) ---------------- 2个;CD4011或74HC00(四2输入与非门) ---------------------- 1个;CD4027 (双J-K触发器) ------------------------------- 1个;CD4511(BCD锁存,7段译码,驱动器) -----------------------2个;LED数码管 ------------------------------------------ 3个;按键开关 --------------------------------------------- 3个;二极管 1N4001 ---------------------------------------- 2个电容 104 ------------------------------------------ 2个;103 -------------------------------------------- 2个;电阻 47k -------------------------------------------- 1个;10kΩ ------------------------------------------ 5个;2kΩ ------------------------------------------ 14个;实验板一个;导线若干等。
篮球竞赛12分计时计分器的设计与实现
篮球竞赛12分计时计分器的设计与实现目录摘要 (1)第一章篮球计时计分器简介 (2)第二章电路设计原理与单元模块 (3)(一)设计原理 (3)(二)设计方案 (4)(三)单元模块 (5)第三章总电路图 (11)第四章安装与调试 (13)(一)电路的安装 (13)(二)电路的调试 (13)第五章结论 (15)致谢 (16)附录(元器件清单) (17)七.参考文献 (18)摘要在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。
在篮球比赛中,规定了一节时间不能超过12分钟,否则就犯规了。
篮球比赛中进球分三类:一分球、两分球、三分球。
本课程设计的“篮球竞赛12分计时计分器”,可用于篮球比赛中,用于对一场12分钟限制。
一旦一场球时间超过了12分钟,它自动的报警从而宣布此节比赛结束;本课程设计还要求设置1、2、3三个按键,用以分别对1分、2分、3分球进行记分,每按一次,记分牌累加相应的分数,记分牌用六个数码管分别显示甲乙双方的得分数(每方三位)。
关键词:1、计时器 2、LED 3、EWB5.12仿真软件第一章篮球计时计分器简介(一)设计任务及要求(1)具有显示11分59秒计时功能;(2)系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;(3)在直接清零时,要求数码管显示器灭灯;(4)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号;(5)设置1、2、3三个按键,用以分别对1分、2分、3分进行记分,每按一次,记分牌累加相应的分数。
2.设计任务及目标:(1)根据原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的装接、调试,直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告。
篮球计分牌的毕业设计(好的)
一.总电路设计思路及框图1.1 总电路设计思路篮球计分分别为计一分、两分、三分,可以分别对应为一个脉冲、两个脉冲、三个脉冲,用三个分电路来产生。
篮球计分操作错误时,要能及时调整:如当多加了一分,那么就需要能减一分;少加一分时,就得多加一分不回来;那么就得拥有能同时能加能减的计数器74192来实现,同时用一个按钮来控制加减计数的切换。
当下次使用时,双方都能从零开始计数,则需要一个清零的按钮,以保证下次能顺利的计数。
另外用六个数码显示器来显示甲乙双方的分数即可。
1.2 框图二、单元电路设计1.一分键产生电路74160是同步十进制计数器,它的功能表如附录图一所示。
当从0000变化到0011时,QA与QB通过与非门接到EP,RD 则通过一个开关来控制,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变, QB输出的则是一个脉冲了。
2.两分键产生电路当从0000变化到0011时,QA与QB通过与非门接到EP,RD 则通过一个开关来控制,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变, QA输出的则是两个脉冲了。
3.三分键产生电路当从0000变化到0101时,QA与QC通过与非门接到EP,RD 则通过一个开关来控制,当到达0011的时候,经过QA与QC的与非门出来的为零,使它保持0101的状态不变, QA输出的则是三个脉冲了。
4.加减计数显示电路74192的原理图如附录的图二所示,产生的三个脉冲经过两个或门合成一条信号线。
它通过一个开关来控制74192的加、减的脉冲输入端,然后借位输出BO,进位CO依次输入下一片74192的加减脉冲的输入端来控制十位与百位的进与借位,其余的输入端则按74192的计数器的工作原理接好则可。
CLR来控制显示器的清零端,当CLR置1时,显示为零;当CLR置0时,正常显示;就达到了清零的目的。
三、总电路设计通过1,2,3个开关键来分别控制一分脉冲,两分脉冲,三分脉冲;k键是加减切换的按钮,0键是用来清零,以便下次能使用。
篮球记分牌(数电课程设计)(DOC)
数字逻辑电路课程设计报告院(部):信息工程学院专业:通信工程班级:通信二班*名:***学号:***********成绩:指导老师:***开课时间:2016-2017 学年 2 学期课程设计任务书一、设计题目篮球记分牌二、主要内容1、分析设计题目的具体要求2、完成课题所要求的各个子功能的实现3、用multisim软件完成题目的整体设计三、要求完成的主要功能1、自选器件,要求甲乙双方各显示为三位数(可显示至百位)2、分别用三个按钮,给记分牌加减1、2、3分。
3、用一个开关实现加减控制4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次比赛实验。
四、进度安排第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。
第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。
第三天:确定总体设计方案,画出系统的原理框图。
第四天:绘制单元电路并对单元电路进行仿真。
第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。
第六天:完成整体设计并仿真验证。
第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。
第八天:完成实践报告的撰写五、成绩评定课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:1、理论设计方案,演示所设计成果,总成绩20%;2、设计报告,占总成绩30%;3、回答教师所提出的问题,占总成绩30%;4、考勤情况,占总成绩20%;无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。
迟到20分钟按旷课处理。
篮球记分牌的设计专业班级:通信二班:学生姓名:陈俊达摘要:篮球比赛是根据参赛队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。
篮球比赛的计时计分系统由计时器、计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理、现场大屏幕、电视转播车等多种设备相连,以便实现高比赛现场感、表演娱乐观众等功能目标。
篮球计分计时数电设计
篮球计分计时数电设计篮球作为一项全球广泛参与的体育运动,其计分和计时是比赛中必不可少的重要环节。
随着科技的发展,计分计时的方式也逐渐从人工操作转变为电子化设计。
本文将介绍篮球计分计时数电设计的原理和应用。
一、计分计时系统的基本原理篮球计分计时系统主要由计时器、计分器和显示屏组成。
计时器用于记录比赛时间,计分器用于记录各队得分情况,显示屏用于显示比赛时间和得分信息。
1. 计时器的设计计时器采用计时芯片和时钟电路实现。
计时芯片通常采用高精度的晶振来确保计时的准确性。
计时器可以设置比赛的时间长度,并能够实现倒计时功能。
在比赛进行过程中,计时器将始终显示剩余时间,并在比赛结束时发出警报信号。
2. 计分器的设计计分器主要由计分芯片和控制电路组成。
计分芯片可以实现对每个队伍得分的记录和累加。
在比赛进行过程中,计分器将根据比赛规则自动更新得分情况,并将得分信息传输给显示屏进行显示。
3. 显示屏的设计显示屏通常采用LED或LCD技术,能够在比赛现场清晰地显示比赛时间和得分信息。
显示屏可以分为计时显示和得分显示两部分。
计时显示部分用于显示比赛的剩余时间,得分显示部分用于显示各队的得分情况。
显示屏可以通过控制电路与计时器和计分器进行数据交互,实时更新比赛信息。
二、计分计时系统的应用篮球计分计时系统广泛应用于各级篮球比赛和训练场馆。
其主要作用有以下几个方面:1. 计时控制计分计时系统能够精确控制比赛时间,确保比赛的公平性和规范性。
在比赛进行过程中,计时器始终显示剩余时间,提醒参赛队伍和裁判员控制比赛节奏。
比赛结束时,计时器会自动发出警报信号,提醒比赛结束。
2. 得分记录计分计时系统能够准确记录各队得分情况。
计分器会根据比赛规则自动更新得分信息,并及时显示在显示屏上。
参赛队伍和观众可以通过显示屏清晰地了解比赛的得分情况,增加比赛的观赏性和互动性。
3. 数据统计计分计时系统还可以进行数据统计和分析。
通过计分器记录的得分信息,可以对比赛结果进行统计和分析,为教练员和队员提供数据支持,有助于优化训练和战术调整。
EDA课程设计——篮球球比赛计分器_2
<<电子设计自动化EDA技术>>课程设计报告题目: 篮球比赛记分牌姓名:院系:专业:学号:指导教师:完成时间: 年月日目录1 课程设计题目`内容与要求………………………1.1 设计内容1.2 具体要求2系统设计…………………………2.1 设计思路2.2 系统原理3 系统实现……………………………………………4 系统仿真……………………………………………5硬件验证(操作)说明………………………………6 总结…………………………………………………7参考书目……………………………………………一、课程设计题目、内容与要求1.1课程设计的题目: 篮球比赛记分牌1、1.2课程设计内容:2、根据比赛实际情况记录两队得分, 罚球进的1分, 进球的2分;3、记分牌要具有纠错功能, 能减1分、2分功能;4、利用3个译码显示管输出比赛的分;二、系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况, 并能够进行纠错功能;根据系统设计的要求, 篮球记分牌的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:1.D触发器电路模块实现翻转功能当出错时, 输出为1, 使电路回到上一个正确的状态。
2.4为二进制全加器电路模块实现加法计数功能。
3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态, 出错时将调用上一个正确状态。
4.二选一数据选择器电路模块用来控制移位寄存器5. LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1), 清零应该可以用复位键reset吧(Q=0)。
library ieee;use ieee.std_logic_1164.all;entity sync_rsdff isport(d,clk : in std_logic;set : in std_logic;reset: in std_logic;q,qb : out std_logic);end sync_rsdff;architecture rtl_arc of sync_rsdff isbeginprocess(clk)beginif (clk'event and clk='1') thenif(set='0' and reset='1') thenq<='1';qb<='0';elsif (set='1' and reset='0') thenq<='0';qb<='1';elseq<=d;qb<=not d;end if;end if;end process;end rtl_arc;移位寄存器模块电路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_reg isport (DIR : in std_logic;CLK : in std_logic;CLR : in std_logic;SET : in std_logic;CE : in std_logic;LOAD : in std_logic;SI : in std_logic;DATA : in std_logic_vector(3 downto 0);data_out : out std_logic_vector(3 downto 0) );end shft_reg;architecture shft_reg_arch of shft_reg issignal TEMP_data_out : std_logic_vector(3 downto 0);beginprocess(CLK)beginif rising_edge(CLK) thenif CE = '1' thenif CLR = '1' thenTEMP_data_out <= "0000";elsif SET = '1' thenTEMP_data_out <= "1111";elsif LOAD = '1' thenTEMP_data_out <= DATA;elseif DIR = '1' thenTEMP_data_out <= SI & TEMP_data_out(3 downto 1);elseTEMP_data_out <= TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out <= TEMP_data_out;end architecture;3.二选一数据选择器电路模块及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux isbeginq<=(do and sel)or(not sel and d1);end a;4.加法计数器的电路模块及程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add4 ISPORT(a1,a2,a3,a4:IN STD_LOGIC;b1,b2,b3,b4:IN STD_LOGIC;sum1,sum2,sum3,sum4:OUT STD_LOGIC;cout4:OUT STD_LOGIC);END add4;ARCHITECTURE add_arc OF add4 ISSIGNAL cout1,cout2,cout3:STD_LOGIC;COMPONENT halfaddPORT(a,b:IN STD_LOGIC;sum,hcarry:OUT STD_LOGIC);END COMPONENT;COMPONENT fulladdPORT(in1,in2,cin:STD_LOGIC;fsum,fcarry:OUT STD_LOGIC);END COMPONENT;BEGINu1:halfadd PORT MAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);u2:fulladd PORT MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);u3:fulladd PORT MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);u4:fulladd PORT MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);END add_arc;5.七段译码电路及程序:library ieee;use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0));end deled;architecture func of deled isbeginprocess(datain)beginif datain= "0000" then qout<="1111110";elsif datain= "0001" then qout<="0110000";elsif datain= "0010" then qout<="1101101";elsif datain= "0011" then qout<="1111001";elsif datain= "0100" then qout<="0110011";elsif datain= "0101" then qout<="1011011";elsif datain= "0110" then qout<="1011111";elsif datain= "0111" then qout<="1110000";elsif datain= "1000" then qout<="1111111";elsif datain= "1001" then qout<="1111011";else null;end if;end process;end func;四、系统仿真1.D触发器电路模块仿真波形:2.移位寄存器模块电路仿真波形:3.二选一数据选择器电路模块仿真波形:4.加法计数器的电路模块仿真波形:5.七段译码电路仿真波形:五﹑硬件验证说明这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等, 六、总结七、参考书目[1]《PLD与数字系统设计》李辉西安电子科技大学出版社 2005[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社 2004[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京: 电子工业出版社2002[4]《VHDL程序设计》曾繁泰陈美金著北京: 清华大学出版社 2001[5]《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社 2005[6]《PLD器件与EDA技术》李冬梅北京广播学院出版社2000。
篮球计分器设计报告
课程设计报告课程名称:数字电路课程设计设计题目:篮球比赛数字计分器院(部):机械与电子工程电学院专业:电气信息类学生姓名: 曾吴广学号: 2011211006起止日期: 2013年6月7日-2013年6月22日指导教师: 李玲纯华贵山一、设计内容与要求:设计一个篮球比赛记分显示器基本要求:(1)电路具有加1分、加2分、加3分功能。
(2)电路具有减分功能。
(3)显示总分功能,用三位LED显示器,最高可现实999。
(4)每次篮球比赛后显示器可清零。
二、设计方案对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。
电路要具有计分、减分及显示的功能。
当球队比赛得分时,用加法计分器通过控制分路加相应的分数。
如果裁判误判了,可用减法计数器减掉误判的分数。
用三片计数器和三个半导体数码LED进行对分数的统计和显示。
电路框图1、记分部分设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。
74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下:故计分部分电路设计如下:2、显示部分数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。
共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。
由于计数器输出的是8421BCD码,数码管不能直接显示成数字,为了让数码管显示人们看懂的数字,就需要把计数器输出的8421BCD码转换成数码管显示的阿拉伯数字,这就需要译码器的翻译。
本设计采用DCD_HEX_BLUE七段发光二极管译码显示器。
1114021龙兰新—篮球计分器
篮球计分器1 引言电子课程设计是电子技术学习中的非常重要环节,是将理论与实践相结合的一个重要过程,是真正锻炼学生能力的过程。
在许多领域中计时器都得到普遍应用,在体育比赛以及交通规则,甚至是制药领域都起到重要作用。
同时,随着社会的不断发展,555定时器作为一种使用方便灵活、应用十分广泛的多功能电路,也开始被逐渐应用起来。
对于篮球的喜爱,我决定做篮球计分器的题目,希望在这个过程中我可以提高自己对于电子设计的能力。
2 原理图2.1 555定时器产生的波形3 实现功能说明根据篮球比赛的规则,分为一分球,两分球,以及三分球。
因此,此电路功能是为记分员提供一种方便的计分器,其中包括加分和减分。
根据实际情况,记分员可以通过开关选择加分以及减分。
其中还包括1、2、3分开关。
这样记分员就可以根据实际情况按下相应的开关,准确的几下此队篮球的总分。
4 仿真分析过程与效果4.1 加计分器效果展示若实现计时器功能需要将key=space键打到上面,为解释方便,设为k1(打到上面),k2(打到下面)。
K1连接时,由计数器74ls160输出的数据与vcc通过异或门连接到74ls192十进制异步加法计时器,其中up为加计时器输入端,co为进位输出端,QA-QD为输出端。
同时CLR为清零端,将三个192计数器的CLR连接到一起,为实现统一清零,连接到A键。
LD为异步置数端,只有当LD的非为1时,计数器能进行加法计数,因此与5v电源相连接。
4.1.1实现1分加计数功能此置数器采用74ls160计数器,三个计数器的脉冲由555定时器组成的多谐振荡器提供。
此外当记一分时,由QA-QB通过与非门连接到计数控制端,并由QB输出亮红灯,此过程经过0000→0001→0010,此时QB只有一个上升沿的脉冲,故产生。
同时,1键与输出Q3端通过非门连接到清零端。
目的为了使输出的0通过非门为1是清零端失效。
下图为1键按下去后输出的脉冲图形,表示仅有一个上升沿,所以为1.4.1.2实现两分加计时器原理图如上所示,当按下2键时,显示为3,表示在1的基础上加2,由图可以看出,是QA,QB经过与非门连接连接到计数器控制端,但输出端和QA连接亮绿灯,通过示波器的图形,可以了解到,输出的变化为0000→0001→0010→0011,输出为QA端亮,因此QA经过2次上升沿,所以输出为2次1.加计时器原理同上,但是当2键按下去的时候,1键以及3键必须断开,因为在连接加法计时器时,3个输出端经过或非门了,若要实现1、2、3分的加分,必须其他两个保持断开。
数电课程设计-篮球12分24秒计时器
篮球计时系统的设计与制作一、分电路设计1、12分钟部分设计:(1)12分钟倒计时秒部分。
运用两片可逆计数器74LS192来构成60进制的减法器。
这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,置数、清零端无效,即可以实现十进制的倒计数功能。
而最低位的计数变化应当与时钟脉冲的变法同步。
所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让秒钟部分的个位完成从0—9—8—7—6—5—4—3—2—1—0的计时特点。
该秒钟部分的十位与个位的计数进制不同,是将计数芯片74LS192接成六进制的计时器,让其实现从0—5—4—3—2—1—0的倒计时特点,所以必须要置数当计时到0时,UP常接高电平,每当DOWN端来一个上升沿脉冲时,就置数为5,所以将芯片的A和C端接高电平,B,D端接低电平这样就完成了置数功能。
要将两芯片组成一个60进制的减法计数器就要将12分钟的秒十位的DOWN端连接到秒个位的借位输出端BO,,其功能是当秒个位减到0时,借位输出端输出一个低电平,秒十位的DOWN端就来了一个低电平,这样等到下次高电平来的时候,十位就计数一次,这样就连成了一个60进制计数器。
具体电路图如下:(2)12分钟倒计时分部分。
运用两片可逆计数器74LS192来构成分别构成一个二进制和一个十进制的减法器。
这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,不同于12分钟秒个位,其置数端要置为2使计数从2开始减法计数,所以将芯片的B接高电平,A,C,D端接低电平这样就完成了置数功能。
清零端无效,即可以实现十进制的倒计数功能。
而最低位的计数变化应当与时钟脉冲的变法同步。
所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让分钟部分的个位完成从2—1—0—9—8—7—6—5—4—3—2的计时特点。
篮球场上的计分计时板 数字电路课程设计
《重庆交通大学信息科学与工程学院》课程设计报告专业:电子专业班级:03班姓名:学号:63同组成员:指导教师:李2014年1 月 1 日目录1.课程设计目的 (3)2.课程设计题目描述和要求 (3)3.课程设计报告内容 (3)3.1.原理分析、电路连线 (3)3.1.1.计分功能模块 (3)3.1.2.24秒计时功能模块 (10)3.2.器件选择 (13)3.3.实作方案和结果 (13)4.总结体会 (14)5.参考书目 (14)1.课程设计目的熟悉运用ISIS 7 Professional仿真操作,学会组合逻辑电路、时序逻辑电路设计方法方法。
2.课程设计题目描述和要求设计并制作一个篮球场上的计分计时板,能完成比赛过程中的计分和24秒进攻计时的功能,具体要求如下:1、计分功能模块:记录比赛过程中的得分。
根据篮球比赛规则,比赛有三种得分:3分球、2分球和罚篮的1分球,分别设置三个按键用来输入3分、2分和1分;要求显示的分数最大为99;2.24秒计时功能模块:篮球比赛一方进攻时间不能超过24秒,该模块主要完成24秒倒计时的功能,并要求根据比赛进程,能实现对该计时器的清零、启动和暂停/连续功能;3.(选做内容)计时器计数到0时,要求蜂鸣器能发出持续三秒的报警声。
3.课程设计报告内容(原理分析、器件选择、电路连线、实作方案和结果)3.1.原理分析、电路连线3.1.1.计分功能模块计分器总图(1)555芯片产生高频输出脉冲图(2)控制加分减分脉冲个数图(3)控制加分减分脉冲个数图(3)注释详图如下(该注释中74LS192,都是U18):控制加分减分脉冲个数图(3.1)控制加分减分脉冲个数图(3.2)用74LS148实现加减3,2,1的赋值编码,当按下其中一个按键并保持时,如按键3,编码器74LS148即实现输出编码011,此时,标志着74LS148有编码输入的输出端GS由高电平变为低电平,这个低电平信号被送到74LS192的异步预置端PL和74LS74的脉冲输入端CLK。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
XXXXXX大学电子技术课程设计报告题目:数字篮球计分器电路设计学年:2012-2013学期:第一学期专业:自动化班级:1002学号:XXXXXXXXX 姓名:XXXX指导教师及职称:XXXX讲师时间:2012年10月15日-2012年10月19日XXXXXX学院设计课题题目:数字篮球计分器电路设计一、同组成员:XXX XXX XX XXX 组长:XXXX二、设计任务与要求1.分别记录两队得分情况;2.进球得分加2分或3分,罚球进球得分加1分;3.纠正错判得分减3分、2分或1分;4.分别用三个数码管显示器记录两队的得分情况;功能描述:1、加分功能,当按下相应的按键开关S1、S2、S3时,分别可以进行加1、2、3分;2、减分功能,当将加减分置换开关S4拨到减分档时,按下开关S1、S2、S3,可以进行减分操作;3、清零功能,当按下S0时,可以将积分清为零。
二、电路原理分析与方案设计据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。
用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。
译码器显示器用于显示分数。
方案设计:1.总体设计思路(含电路原理框图):电路的核心模块是加减分和累加积分电路的设计,我们采用的总体方案是,用时钟信号电路产生的脉冲信号给加减分电路提供时钟信号,之后将加减分电路发出的信号接到累加器上进行总分的累加,然后在数码显示电路上显示出来。
电路的原理框图如下图所示:鉴于电路可以分为多个模块,因此我们将设计任务分配到每一位组员如下:1. XXX: 脉冲电路设计与总体电路设计2. XXX:总体电路设计与脉冲电路设计 3. XXX :译码器设计与部分电路仿真4. XXX :计数器设计与总体电路仿真5. XXX :控制电路设计与资料查阅6. XXX :控制电路设计与实验报告整理 2.主要元件介绍(1) 二进制加法计数器74LS16074LS160 引脚图①管脚图介绍:74LS160 为可预置的十进制同步计数器其管脚图如图所示RCO 进位输出端ENP 计数控制端ENT 计数控制端A-D 输入端QA-QD 输出端CLK 时钟输入端CLR 异步清零端,低电平有效LOAD 同步并行置入端,低电平有效②工作方式选择表:(2) 十进制可逆计数器74LS192引脚图管脚及功能表74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图所示:74LS192的引脚排列及逻辑符号74LS192的引脚说明:PL为置数端CPu为加计数端CPD为减计数端为非同步进位输出端为非同步借位输出端在P0-P3为计数器输入端为清除端Q0-Q3 为数据输出端。
其功能表如下:74LS192的功能表(3) 七段显示器半导体数码管是由七段发光二极管组成,简称LED 。
共阴共阳的判断: 可以假设它是共阳的,那么任一段串入一个100欧姆电阻到5V,相应段就会被点亮;否则为共阴的数码管。
输入 输出 MR P3 P2 P1 P0 Q3 Q2 Q1 Q0 1×××× × × × 0 00 00 0 × × d c b a d c b a0 1 1 × × × × 加计数0 1 1 × × × × 减计数七段显示器三、具体电路的设计1、计分部分设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。
74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下:故计分部分电路设计如下:2、显示部分数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。
共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。
由于计数器输出的是8421BCD码,数码管不能直接显示成数字,为了让数码管显示人们看懂的数字,就需要把计数器输出的8421BCD码转换成数码管显示的阿拉伯数字,这就需要译码器的翻译。
本设计采用DCD_HEX七段发光二极管译码显示器。
DCD_HEX为共阴极LED数码管。
显示器引脚从左到右依次为:4,3,2,1。
该显示包含了译码功能,所以无需专门的译码器。
正确的引脚接连方式如下图:3、加减分部分设计要求有一分、二分、三分的加减,就需有提供相应的脉冲的电路,这里可考虑用三个十进制计数器74LS160来分别设计一分、二分、三分的电路。
74LS160 是十进制加法计数器,具有异步清零、同步置数、保持状态不变等功能,具体功能表如下:(1)一分电路对一分电路,当输出从0000变化到0011时,QA与QB通过与非门接到ENP,QA与QB经过与非门的输出为零,使它保持0011的状态不变,CLR非则通过一个开关S1来控制,S1闭合时,QB输出的则是一个脉冲。
(2)二分电路对二分电路,当从0000变化到0011时,QA与QB通过与非门接到ENP,QA与QB经过与非门出来的为零,使它保持0011的状态不变,CLR非则通过一个开关S2来控制,S2闭合时,QA输出的则是两个脉冲。
(3)三分电路对三分电路,当从0000变化到0101时,QA与QC通过与非门接到ENP,QA与QC经过与非门出来的为零,使它保持0101的状态不变,CLR非则通过一个开关S3来控制,S3闭合时,QA输出的则是三个脉冲。
4、加减置换部分加减置换可选用一个单刀双掷开关来实现。
对三种脉冲的选用可用一个三输入或门来进行选择。
5、分数清零部分该部分可运用74LS192高电平清零的功能来实现。
因此在需要时进行清零,可安置一开关。
这里可选择跳变开关,可省去清零后让其重新归位的操作,电路图如下:6、时钟信号产生电路时钟信号产生电路采用555定时器构成,电路图如下:7、总体仿真结果8、个人承担的工作我个人主要承担的工作是总体电路设计,本电路主要有三个功能,即加分、减分和清零功能。
加减分的原理基本一样,用二进制加法计数器可以实现此功能,具体型号可以选用74LS160,用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。
设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。
74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,74LS192高电平清零的功能可以在需要时进行清零,可安置一开关。
这里可选择跳变开关,可省去清零后让其重新归位的操作。
加减可选用一个单刀双掷开关来实现,对三种脉冲的选用可用一个三输入或门来进行选择。
最后是信号的显示,即篮球比赛的分数显示电路,采用DCD_HEX七段发光二极管译码显示器。
DCD_HEX为共阴极LED 数码管。
显示器引脚从左到右依次为:4,3,2,1。
该显示包含了译码功能,所以无需专门的译码器。
四、总结数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。
这次课程设计的题目是篮球比赛数字计分器。
初看题目不知该如何下手,毕竟课程设计不同于实验课,电路图都要自己设计。
不过还是在不断的坚持和努力之下很好的完成了这次的数字电路课程设计。
通过这次的课程设计,我有很大的收获。
一个星期,我花了九牛二虎之力才把系统完成,这充分说明仅仅学习一个学期就想要做出多么完美的系统是难以想象的,要想真正地把单片机给学好、摸透,我想,必须得从电子电路基础开始学透彻,这也正是我下一步打算去实现的。
我想,只要是我们认真地去学一样东西,那么什么东西都是有趣的,我确实很高兴,这其中的乐趣也并不亚于画画,音乐等等。
在这次实训中,我认为我学到了很多东西,比如,关于电子线路实现的几个软件,Protel和Multisim仿真软件。
以前我对它们很陌生,自从完成了这次课题设计之后,我基本能完整熟练的操作它。
通过这么多次的锻炼,我觉得我对专业认识更深刻了,对它的掌控更好了,我想这将对我今后设计有着深远的影响。
以后一定会去钻研它的。
五、主要元器件清单74LS160三片74LS192 三片74LS48三片数码显示器三个导线、开关、电源、逻辑门EDA仿真软件-MULTISIM10 六、参考文献(1)王彦朋.大学生电子设计与应用.北京:中国电力出版社,2007(2)常华.仿真软件教程.北京:清华大学出版社,2006(3)张学军.电子技术基础仿真实验.北京:机械工程出版社,2008(4)康华光.电子技术基础——数字部分.北京: 高等教育出版社,2006(5)张钦双.实用电子电路200例.北京:机械工业出版社,2003。