北航电子电路实验总和
北航分立元件实验报告
![北航分立元件实验报告](https://img.taocdn.com/s3/m/a79ed6e0f021dd36a32d7375a417866fb94ac048.png)
实验名称:北航分立元件实验实验日期:2023年X月X日实验地点:北航电子实验中心一、实验目的1. 理解和掌握分立元件的基本特性和工作原理。
2. 学会使用分立元件搭建基本的电路,如放大电路、门电路等。
3. 熟悉分立元件实验仪器的使用方法,提高实验操作技能。
二、实验原理分立元件是指独立的电子元件,如二极管、晶体管、电阻、电容等。
它们是构成电子电路的基本单元。
本实验主要涉及以下几种分立元件:1. 二极管:具有单向导电性,主要用于整流、稳压、限幅等电路。
2. 晶体管:具有放大、开关等特性,是模拟电路和数字电路的核心元件。
3. 电阻:具有限流、分压、偏置等作用。
4. 电容:具有隔直通交、滤波、耦合等作用。
三、实验内容1. 二极管单向导电性实验(1)搭建电路:将二极管正向和反向接入电路,观察电压表和电流表的读数。
(2)分析现象:正向接入时,电压表和电流表均有读数;反向接入时,电压表有读数,电流表无读数。
(3)得出结论:二极管具有单向导电性。
2. 晶体管共射极放大电路实验(1)搭建电路:根据实验要求,搭建共射极放大电路。
(2)测量参数:测量晶体管的静态电流、静态电压、电压放大倍数等参数。
(3)分析结果:根据实验数据,分析晶体管的放大性能。
3. 电阻分压电路实验(1)搭建电路:根据实验要求,搭建电阻分压电路。
(2)测量电压:测量电路中不同位置的电压值。
(3)分析结果:根据实验数据,分析电阻分压电路的特性。
4. 电容滤波电路实验(1)搭建电路:根据实验要求,搭建电容滤波电路。
(2)测量电压:测量电路中不同位置的电压值。
(3)分析结果:根据实验数据,分析电容滤波电路的特性。
四、实验结果与分析1. 二极管单向导电性实验结果:二极管正向接入时,电压表和电流表均有读数;反向接入时,电压表有读数,电流表无读数。
验证了二极管具有单向导电性。
2. 晶体管共射极放大电路实验结果:根据实验数据,晶体管的静态电流约为2mA,静态电压约为6V,电压放大倍数约为50。
北航电子电路设计数字部分实验报告
![北航电子电路设计数字部分实验报告](https://img.taocdn.com/s3/m/b4baa9c471fe910ef02df81d.png)
电子电路设计数字部分实验报告学院:姓名:实验一简单组合逻辑设计实验内容描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。
实验仿真结果实验代码主程序module compare(equal,a,b);input[7:0] a,b;output equal;assign equal=(a>b)?1:0;endmodule测试程序module t;reg[7:0] a,b;reg clock,k;wire equal;initialbegina=0;b=0;clock=0;k=0;endalways #50 clock = ~clock;always @ (posedge clock)begina[0]={$random}%2;a[1]={$random}%2;a[2]={$random}%2;a[3]={$random}%2;a[4]={$random}%2;a[5]={$random}%2;a[6]={$random}%2;a[7]={$random}%2;b[0]={$random}%2;b[1]={$random}%2;b[2]={$random}%2;b[3]={$random}%2;b[4]={$random}%2;b[5]={$random}%2;b[6]={$random}%2;b[7]={$random}%2;endinitialbegin #100000 $stop;endcompare m(.equal(equal),.a(a),.b(b));endmodule实验二简单分频时序逻辑电路的设计实验内容用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。
实验仿真结果实验代码主程序module half_clk(reset,clk_in,clk_out); input clk_in,reset;output clk_out;reg clk_out;always@(negedge clk_in)beginif(!reset)clk_out=0;elseclk_out=~clk_out;endendmodule测试程序`timescale 1ns/100ps`define clk_cycle 50module top;reg clk,reset;wire clk_out;always #`clk_cycle clk=~clk;initialbeginclk=0;reset=1;#10 reset=0;#110 reset=1;#100000 $stop;endhalf_clk m0(.reset(reset),.clk_in(clk),.clk_out(clk_out));endmodule实验三利用条件语句实现计数分频时序电路实验内容利用10MHz的时钟,设计一个单周期形状的周期波形。
北航《彩色线阵CCD驱动电路设计》实验报告
![北航《彩色线阵CCD驱动电路设计》实验报告](https://img.taocdn.com/s3/m/3e0113523b3567ec102d8a5f.png)
2014年《仪器光电综合实验》实验报告姓名学号实验名称:彩色线阵CCD传感器实验及驱动电路设计报告实验日期:2014年10 月17日第一部分彩色线阵CCD传感器实验报告(实验一、实验二、实验三、实验四)实验一、线阵CCD原理2.驱动脉冲相位的测量2)用CH1 探头测量转移脉冲SH。
用CH2 探头分别观测驱动脉冲F1与F2。
SH-F1SH-F2对比两图,发现F2、F1的相位相反,并且均进入采集状态早于SH脉冲,离开采集状态晚于SH脉冲,从而保证了充分采集电子。
3)用CH1 探头测量F1 信号。
CH2 探头分别测量F2、RS、CP、SP 信号。
F1-F2F1-SPF1-RSF1-CPF2与F1相位相反,SP脉冲迟于F1,RS略迟于SP,CP略迟于RS,即在F1高电平期间,先采样保持,后复位,再篏位,从而输出稳定的图像。
4)用CH1 探头测量CP 信号。
CH2 探头分别测量RS、SP。
CP-RSCP-SP可见篏位信号CP滞后于采样保持信号SP和复位信号RS。
5) 将以上所测的相位关系与TCD2252D 的驱动波形相对照。
实验结果与之完全符合。
3.驱动频率和积分时间测量将实验仪的频率设置恢复为“0”档,同时确认积分时间设置为“00”档。
用CH1 做观测FC信号的同步(示波器扫描频率调至2ms 左右,便于观察)。
用CH2 测量SH 信号。
发现SH和FC信号周期相同。
4) 保持CH1 探头不变,增加积分时间,用CH2 探头分别测量UG、UR 和UB 信号,观测这三个信号在积分时间改变时的信号变化。
积分时间0档02 R积分时间0档02 G 积分时间0档02 B积分时间0档05 R 积分时间0档05 G积分时间0档05 B 积分时间0档08 R积分时间0档08 G积分时间0档08 B5)展开SH 信号,观测SH 波形和CCD 输出波形之间的相位关系。
R GB6)重复上述步骤观测FC 波形和CCD 输出波形之间的相位关系。
北航电子电路实验报告二
![北航电子电路实验报告二](https://img.taocdn.com/s3/m/524a4bda28ea81c758f578db.png)
测试方法同第二步
测得:Ii=202.33nA,Ui=99.996mV,进而可求的Ri=494.22kΩ
(3)输出电阻
测试方法同第三步
测得:Io=2.913mA,Uo=99.996mV,进而可求的Ro=34.32Ω
(4)利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线:
将xbp表如图所示连接在电路中,观察xbp表即可得其幅频、相频特性曲线
100mV
对于电路一用上面公式计算放大倍数,可以看到随着RL的增加,放大倍数增加,逐渐接近输入电压100mV。
而对于理想放大器来说,跟随器的作用就是使得输出电压等于输入电压,所以输出电压恒为100mV
3测量输出电阻
将输入电压源短路,同时在输出端串接电压源,同时连接万用表如下图所示
测得:Io=2.929mA,Uo=99.996mV,进而可求的Ro=34.140Ω
4利用软件提供的测量仪表测出电路的幅频、相频特性曲线
将xbp表如图所示连接在电路中,观察xbp表即可得其幅频、相频特性曲线
5利用交流分析功能测出电路的幅频、相频特性曲线
电路一
10欧
100欧
1000欧
10千欧
100千欧
1兆欧
100兆欧
22.088mV
81.811mV
98.302mV
99.665mV
99.803mV
99.816mV
99.818mV
运算放大器
10欧
100欧
1000欧
10千欧
100千欧
1兆欧
100兆欧
100mV
100mV
100mV
100mV
100mV
100mV
电子电路
北航7系电路测试4交流电路的功率及参数测量
![北航7系电路测试4交流电路的功率及参数测量](https://img.taocdn.com/s3/m/d9eb94d4ba0d4a7302763a22.png)
常见问题
1.表的读数错误。 一定要根据量程的选择来确定读数。 2.如何排除故障? 用测量交流电压的方法来排除。
P I RL
2
2 L Z 2 RL / 2f
实验电路图
* P前
I总
P后
200Ω
A A
IL
L RL
A
IC
~127V
U
K4
K3
K2
K1
10uF 100Ω
4.7uF
2.2uF
1uF
测量数据表格
C(uF) 0 1 2.2 3.2 4.7 5.7 U(V) I总(A) IL(A) 0.35 IC(A) P前(W) P后(W) cosФ
电流表通过电流插头、插座串联到电路中 记录电流表的内阻
电流量程 选择
电流插头
电流插座
电压表的使用
用数字万用表的交流电压挡
实验用的元器件
电源开关 电容
含铁芯的电感
电阻
调压器的使用
原边、副边不能接反 从小到大调节
手柄 副边a、x
原边A、X
注意事项
1.调压器输入端用相电压127V。 2. 调压器的输入、输出端不能接反。 3.接通和断开电源时,各仪表不能接在电路中。 4.接好电路后再合闸通电;拆线前先断开电源。 5.正确地使用功率表。
实验三、交流电路的功率及参数测量
3学时
实验说明
实验目的 讨论实验方案 实验仪器与设备 注意事项 常见问题 附电路图
实验目的
1. 学习拟定实验方案的方法; 2. 学会编写一个完整的实验报告; 3. 学会使用功率表。
实验方案的确定
测量带铁芯电感的线圈电阻RL和线圈电感L,以及如何 提高功率因数cosФ 。 方法1:三表法 方法2:二表法 依据的公式:P=UIcosΦ Z=U/I RL=ZcosΦ
北航电气专业课
![北航电气专业课](https://img.taocdn.com/s3/m/3d90b5d7dc88d0d233d4b14e852458fb770b38ee.png)
北京航空航天大学电气工程专业的专业课涵盖了电气工程的基础理论和专业技能,为学生提供了全面的电气工程知识和技能培养。
以下是对北航电气专业课的介绍:一、学科基础课程北航电气专业开设的学科基础课程主要包括电路理论、电磁场理论、电子技术、电力电子技术、自动控制原理等。
这些课程为后续的专业课程打下了坚实的基础,帮助学生掌握电气工程的基本概念和原理。
二、专业课程在专业课程方面,北航电气专业注重理论与实践相结合,开设了电力系统分析、电机与电器、高电压技术与设备、电力电子变换与控制、新能源技术等课程。
这些课程涵盖了电力系统、电机与电器、电力电子控制和新能源技术等方面的知识,为学生提供全面的电气工程专业技能培养。
三、实践环节北航电气专业非常注重实践环节,开设了实验课程和课程设计。
实验课程主要包括电路实验、电子技术实验、电机实验、电力电子实验等,帮助学生掌握电气工程实验技能和方法。
课程设计则包括电力系统设计、电机设计、电力电子控制系统设计等,通过实际项目的设计和实施,培养学生的综合实践能力和创新思维能力。
四、学术前沿与科研实践北航电气专业还注重学术前沿与科研实践的结合。
学生可以通过参加学术讲座、科研项目、学术竞赛等活动,了解电气工程领域的最新研究成果和发展趋势,同时也可以通过实践锻炼自己的科研能力和创新思维。
综上所述,北航电气专业的专业课涵盖了学科基础课程、专业课程和实践环节等多个方面,旨在帮助学生掌握全面的电气工程知识和技能。
同时,该专业还注重学术前沿与科研实践的结合,为学生提供了良好的学术氛围和实践机会。
相信在北航电气专业的学习中,学生可以获得优秀的学术成果和实践经验,为未来的职业发展打下坚实的基础。
北航自动化课程表
![北航自动化课程表](https://img.taocdn.com/s3/m/6b2d213cbfd5b9f3f90f76c66137ee06eff94e22.png)
北航自动化课程表一、课程安排1.1 学期安排在北航自动化专业的学习过程中,课程安排相当重要。
根据教学计划,一共有8个学期,在每个学期中,学生需要修读一定数量的课程。
以下是北航自动化专业的学期安排:•第一学期:普通物理、高等数学、电路基础、计算机应用基础等;•第二学期:信号与系统、模拟电路、计算机技术与应用等;•第三学期:数字电路、控制系统等;•第四学期:运动控制系统、传感器与检测技术、机电系统设计等。
1.2 课程内容不同的课程内容涵盖了自动化专业的各个方面。
以下是北航自动化课程表中的一些重要课程及其内容:1.2.1 普通物理这门课程主要介绍物理学的基本概念和规律,包括力学、热学、电磁学等内容。
学生通过学习普通物理课程,能够掌握自动化系统中物理过程的相关知识,为后续的学习打下坚实的基础。
1.2.2 电路基础电路基础是自动化专业的一门基础课程。
它主要介绍了电路的基本概念、基本定律和分析方法。
通过学习电路基础,学生能够理解和分析电路中的各种电信号、电压、电流等参数,并能够进行电路的设计和调试。
1.2.3 控制系统控制系统是自动化专业的核心课程之一。
它主要介绍了控制理论和控制系统的基本原理与方法。
学生通过学习控制系统,能够了解和掌握自动化系统中的控制策略、控制器、传感器等相关知识,从而能够设计和实现各种自动化控制系统。
1.2.4 机器人技术机器人技术是自动化专业的前沿领域之一。
它主要介绍了机器人的基本原理和技术,包括机器人结构、机器人运动学与动力学、机器人控制等内容。
学生通过学习机器人技术,能够了解和掌握机器人系统的设计、控制和应用等方面的知识。
二、课程实践2.1 实验课程北航自动化课程表中不仅包含理论课程,还有各种实验课程。
这些实验课程旨在让学生通过实际操作,巩固和应用所学的理论知识。
以下是一些常见的自动化实验课程:2.1.1 电子实验电子实验是自动化专业中的重要实验课程之一。
通过该实验,学生能够亲自动手制作电路,测量电路参数,并进行电路调试和故障排除。
北航实验报告封面(共8篇)
![北航实验报告封面(共8篇)](https://img.taocdn.com/s3/m/7181891402d8ce2f0066f5335a8102d276a2614e.png)
北航实验报告封面(共8篇)北航惯性导航综合实验一实验报告实验一陀螺仪关键参数测试与分析实验加速度计关键参数测试与分析实验二零一三年五月十二日实验一陀螺仪关键参数测试与分析实验一、实验目的通过在速率转台上的测试实验,增强动手能力和对惯性测试设备的感性认识;通过对陀螺仪测试数据的分析,对陀螺漂移等参数的物理意义有清晰的认识,同时为在实际工程中应用陀螺仪和对陀螺仪进行误差建模与补偿奠定基础。
二、实验内容利用单轴速率转台,进行陀螺仪标度因数测试、零偏测试、零偏重复性测试、零漂测试实验和陀螺仪标度因数与零偏建模、误差补偿实验。
三、实验系统组成单轴速率转台、MEMS 陀螺仪(或光纤陀螺仪)、稳压电源、数据采集系统与分析系统。
四、实验原理1. 陀螺仪原理陀螺仪是角速率传感器,用来测量载体相对惯性空间的角速度,通常输出与角速率对应的电压信号。
也有的陀螺输出频率信号(如激光陀螺)和数字信号(把模拟电压数字化)。
以电压表示的陀螺输出信号可表示为:UGUG?0??kG??kGfG(a)?kG?G(1-1)式中fG(a)是与比力有关的陀螺输出误差项,反映了陀螺输出受比力的影响,本实验不考虑此项误差。
因此,式(1-1)简化为 UGUG?0??kG??kG?G(1-2)由(1-2)式得陀螺输出值所对应的角速度测量值:测量?UG?UG(0)(1-3) ??GkG对于数字输出的陀螺仪,传感器内部已经利用标度因数对陀螺仪模拟输出进行了量化,直接输出角速度值,即:测量??0??真值??G(1-4)?0是是陀螺仪的零偏,物理意义是输入角速度为零时,陀螺仪输出值所对应的角速度。
且UG(0)?kG?0 (1-5)?测量精度受陀螺仪标度因数kG、随机漂移?G、陀螺输出信号UG的检测精度和UG(0)的影响。
通常kG和UG(0)表现为有规律性,可通过建模与补偿方法消除,?G表现为随机特性,可通过信号滤波方法抵制。
因此,准确标定kG和UG(0)是实现角速度准确测量的基础。
北航自动控制原理实验报告_含数据
![北航自动控制原理实验报告_含数据](https://img.taocdn.com/s3/m/7c3c725890c69ec3d5bb75da.png)
自动控制原理实验报告册班级:学号:姓名:2010年1月2日目录实验一一、二阶系统的电子模拟及时域响应的动态测试 . 3实验二频率响应测试 (8)实验三控制系统串联校正 (18)实验一一、二阶系统的电子模拟及时域响应的动态测试一、实验目的1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。
2、学习在电子模拟机上建立典型环节系统模型的方法。
3、学习阶跃响应的测试方法。
二、实验内容1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的阶跃响应曲线,并测定其过渡过程时间Ts。
2、建立二阶系统的电子模型,观测并记录在不同阻尼比时的阶跃响应曲线,并测定其超调量及过渡过程时间。
三、实验原理1.一阶系统:系统传递函数为:φ(s)=模拟运算电路如图1- 1所示:图 1- 1由图 1-1得在实验当中始终取R2= R1,则K=1,T= R2C取不同的时间常数T分别为: 0.25、 0.5、12.二阶系统:其传递函数为:令ωn=1弧度/秒,则系统结构如图1-2所示:图1-2根据结构图,建立的二阶系统模拟线路如图1-3所示:图1-3取R2C1=1 ,R3C2 =1,则及ζ取不同的值ζ=0.25 , ζ=0.5 , ζ=1实验电路图:一阶:二阶:四、实验数据表根据实验要求选取的参数如下:一阶T0.250.51R2510K510K1MC0.47μ1M1μTs实测0.7190 1.5790 3.0900Ts理论0.75 1.53二阶ζ0.25 0.5 1R4 2M 1M 470KC2 1μ1μ1μσ实测40.1460 16.1408 0%σ理论44.4344 16.3034 0%Ts 实测10.8790 5.4380 4.8780Ts 理论14 7 4.75五、实验结果图注:时间轴单位:t/10ms,下面实验同。
依次取ζ=0.25、0.5、1.0一阶:二阶:六、数据分析实验数据结果与理论数据间存在差异,主要原因在于用510千欧电阻代替500欧电阻,其他原因包括模拟程序中各元件的实际物理值与理论之间存在差异,实际运行中会有互相之间的干扰,外界的干扰、储能元件放电不完全等,导致了最终实际模拟结果与理论之间有一定差异。
北航光电子实验报告 光敏电阻特性及应用实验报告
![北航光电子实验报告 光敏电阻特性及应用实验报告](https://img.taocdn.com/s3/m/d3268d1416fc700abb68fc36.png)
光敏电阻特性及应用实验报告2016年4月18日实验三光敏二极管特性实验一.实验目的:1.熟悉光敏二极管的结构和光电转换原理。
2.掌握光敏二极管的暗电流及光电流的测试方法。
3.了解光敏二极管的特性,当光电管得工作偏压一定时,光电管输出光电流与入射光的照度(或通量)的关系。
二.实验原理:光敏二极管是一种光生伏特器件,用高阻P 型硅作为基片,然后在基片表面进行掺杂形成PN 结,N 区扩散区很浅为1um 左右,而空间电荷区(即耗尽层)较宽,所以保证了大部分光子入射到耗尽层内,光被吸收而激发电子——空穴对,电子——空穴对在外加反向偏压的作用下,空穴流向正极,形成了二极管的反向电流即光电流。
光电流通过外加负载电阻RL 后产生电压信号输出。
光敏二极管原理如图(9)所示。
在无光照的情况下,若给P—N 结一个适当的反向电压,则反向电压加强了内建电场,使P—N 结空间电荷区拉宽,势垒增大,流过P—N 结的电流(称反向饱和电流或暗电流)很小,它(反向电流)是由少数载流子的漂移运到形成的。
当光敏二极管被光照时,满足条件hv≧Eg 时,则在结区产生的光生载流子将被内场拉开,光生电子被拉向N 区,光生空穴被拉向P 区,于是在外加电场的作用下以少数载流子漂移运动为主的光电流。
显然,光电流比无光照时的反向饱和电流大得多,如果光照越强,表示在同样条件下产生的光生载流子越多,光电流就越大,反之,则光电流越小。
当二极管与负载电阻RL 串联时,则在RL 的两端便可得到随光照度变化的电压信号,从而完成了将光信号转变成电信号的转换。
光敏二极管在无光照时,在所加反向电压作用下,仍会有反向电流流过,这种电流的数值很小,称为暗电流。
暗电流值是光敏二极管传感器的重要参数之一,它影响光敏二极管的光电变换质量和工作稳定性,因此希望它数值越小越好。
在无辐射作用的情况下,PN 结硅光敏二极管的正、反向特性与普通PN 结二极管基本一样,均为图(10)所示的伏安特性曲线,当有光照时,PN 结硅光敏二极管的反向输出特性曲线如图(11)所示。
北航电气实验FPGA实验报告
![北航电气实验FPGA实验报告](https://img.taocdn.com/s3/m/e6d7953e915f804d2b16c129.png)
北京航空航天大学电气实验报告FPGA实验张天130325班学号:13031220一.实验目的略二.实验要求略三.实验设备略四.实验内容略五.实验实例1.实例6-1思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点阵显示?答:思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等?答:思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等?答:2.实例6-2思考题:一位半加器电路采用VHDL语言实验答:library ieee;use ieee.std_logic_1164.all;use iee.std_logic_unsigned.all;entity halfadd isport (a,b;in std_logicsum,carry; out std_logic)end entity halfadd;architecture halfadd isbeginsum<=a and(not b)+b and (not a);carry<= a and b;end architecture halfadd;六.实验过程我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化❤”的样子,实现图片如下图:1.实验分析:实验设计思路:本实验的设计思路是利用led灯的辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。
每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。
2.实现过程对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。
首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。
北航17系光电子实验报告实验5
![北航17系光电子实验报告实验5](https://img.taocdn.com/s3/m/e27d3d23ee06eff9aef807ca.png)
光电子技术实验报告实验五光电池特性实验一.实验目的:1.学习掌握硅光电池的工作原理。
2.学习掌握硅光电池的基本特性。
3.掌握硅光电池基本特性测试方法。
二.实验原理:光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。
图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。
如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。
显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL•RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。
图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。
硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。
图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。
开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。
北航电力电子实验报告
![北航电力电子实验报告](https://img.taocdn.com/s3/m/683ed9ae6394dd88d0d233d4b14e852459fb3963.png)
北航电力电子实验报告一、实验目的电力电子是指能够对电能进行控制、调节和变换的设备和技术。
本实验旨在通过对电力电子元件和电路的实际操作,了解电力电子的基本原理和工作特性,掌握电力电子技术的应用。
二、实验内容1.了解电力电子元件的工作原理和特性,包括二极管、晶闸管、MOSFET等。
2.使用电力电子元件搭建基本电力电子实验电路,包括电压倍增器、交流调压电路等。
3.对电力电子元件和电路进行实验调试,观察和测量电路中电压、电流等参数。
4.记录实验结果,撰写实验报告。
三、实验步骤1.根据实验要求和提供的材料,准备实验所需的电力电子元件和电路板。
2.根据实验指导书的要求,依次搭建不同的电力电子电路。
3.使用万用表、示波器等测试仪器,对电路中的电压、电流等参数进行测量和观察。
4.调试电路,观察电力电子元件的工作情况,并记录实验数据。
5.完成实验后,将实验所用的设备归还到指定位置,整理实验报告。
四、实验结果分析本实验以搭建电压倍增器为例,观察和测量了电压倍增器电路中的输入电压、输出电压和负载电流等参数。
通过实验发现,当输入电压为直流电压时,输出电压比输入电压高;当输入电压为交流电压时,输出电压也为交流电压,但其幅值大于输入电压。
此外,当负载电流增加时,电路中的电流也相应增加,但电压倍增器的输出稳定性有一定的局限性,不适用于所有场合。
五、实验总结通过本次实验,我深入了解了电力电子元件和电路的工作原理和特性,通过实际操作和测量,进一步加深了对电力电子技术的理解。
实验过程中,我掌握了搭建和调试电力电子电路的方法和技巧,提高了实际操作的能力。
同时,也意识到了电力电子技术在现代工程和生活中的广泛应用,对工程实践有着重要的意义。
在未来的学习和实践中,我将进一步探索和应用电力电子技术,为工程和生活提供更好的解决方案。
同时,也要不断学习和更新电力电子技术的知识,跟随科技的发展,不断提升自己的专业素养和技能水平。
2016年北航模拟电路实验三 差动放大器的分析与设计
![2016年北航模拟电路实验三 差动放大器的分析与设计](https://img.taocdn.com/s3/m/38b5ab0a6edb6f1aff001f9a.png)
电子电路实验报告实验三差动放大器的分析与设计班级学号姓名日期一、实验目的(一)通过使用Multisim来仿真电路,测试如图3所示的差分放大电路的静态工作点、差模电压放大倍数、输入电阻和输出电阻(二)加深对差分放大电路工作原理的理解(三)通过仿真,体会差分放大电路对温漂的抑制作用二、实验电路三、实验步骤(一)请对该电路进行直流工作点分析,进而判断管子的工作状态对该电路进行直流工作点分析,结果截图如下图所示:对比电路图可知:U BQ1=-2.07344mV U BQ2=-2.07344mV U CQ1=11.64087VU CQ2=11.64087VU EQ=-584.27715mV由于U BQ>U EQ,U CQ>U BQ,所以发射结正偏,集电结反偏。
故推断,该NPN型三极管工作在放大区(二)请画出测量电流源提供给差放的静态工作电流时,电流表在电路中的接法,并说明电流表的各项参数设置。
在发射极串联一个电流表,用直流档测量,如下图所示:测得:I=722.4uA(三)详细说明测量输入、输出电阻的方法(操作步骤),并给出其值输入电阻:在输入端分别并联交流电压表和串联交流电流表。
如下图所示:测得:U i=9.712mV,I i287.85nA所以R i=U i/I i=33.74kΩ输出电阻:将信号源短路。
在输出端加一个电压源。
在输出端分别串联一个交流电流表和并联一个交流电压表,测量输出电阻。
如下图所示:测得:I o=5.083μA,U o=10mV,进而可求得R o=1.97kΩ(四)利用软件提供的测量仪表测出单端差模放大倍数Av=Vo/Vi=115.335mV/9.712mV=11.88(五)用波特图仪测量该电路的幅频、相频特性曲线实验电路图:实验结果:(1)幅频特性(2)相频特性(六)用交流分析测量该电路的幅频、相频特性曲线由交流分析得:(七)请利用温度扫描功能给出工作温度从0℃变化到100℃时,输出波形的变化实验电路:(八)根据前面得到的静态工作点,请设计一单管共射电路(图4给出了一种可行的电路图,可以作为参考),使其工作点和图3电路的静态工作点一样。
北航电路实验报告
![北航电路实验报告](https://img.taocdn.com/s3/m/72c71c5a11a6f524ccbff121dd36a32d7375c73e.png)
北航电路实验报告北航电路实验报告引言北航电路实验是电子信息工程专业学生必修的一门实践课程,旨在帮助学生理解和掌握电路的基本原理和实验技巧。
本文将对北航电路实验进行详细的报告和分析,以便更好地总结和应用所学知识。
实验一:电路基础实验电路基础实验是北航电路实验的第一次实践活动,通过搭建简单的电路并测量电流和电压,学生可以对电路的基本概念和特性有一个初步的了解。
首先,我们使用面包板搭建了一个简单的电路,包括电源、电阻和电流表。
然后,我们通过改变电阻的大小,测量了电路中的电流和电压。
实验结果表明,电流与电压成正比,而电阻则影响电流的大小。
实验二:交流电路实验交流电路实验是北航电路实验的第二个实践环节,通过使用交流电源和各种电路元件,学生可以研究交流电路的特性和行为。
我们首先搭建了一个简单的交流电路,包括交流电源、电感和电容。
然后,我们测量了电路中的电流和电压,并绘制了电流和电压随时间变化的波形图。
实验结果表明,电感和电容对交流电路的行为有重要影响,可以产生滤波、延时等效果。
实验三:放大电路实验放大电路实验是北航电路实验的第三个实践环节,通过使用放大器和各种电路元件,学生可以研究电路的放大效果和信号处理。
我们首先搭建了一个简单的放大电路,包括放大器、电阻和信号源。
然后,我们输入不同幅度和频率的信号,并测量输出信号的幅度和频率。
实验结果表明,放大器可以放大输入信号的幅度,同时也会对信号的频率产生一定的影响。
实验四:滤波电路实验滤波电路实验是北航电路实验的第四个实践环节,通过使用滤波器和各种电路元件,学生可以研究电路的滤波效果和频率响应。
我们首先搭建了一个简单的滤波电路,包括滤波器、电容和电阻。
然后,我们输入不同频率的信号,并测量输出信号的幅度和相位。
实验结果表明,滤波器可以对输入信号进行频率选择,滤除不需要的频率成分。
实验五:数字电路实验数字电路实验是北航电路实验的最后一个实践环节,通过使用数字电路元件和逻辑门,学生可以研究电路的逻辑运算和数字信号处理。
北航光电子技术实验报告
![北航光电子技术实验报告](https://img.taocdn.com/s3/m/9c73ab76abea998fcc22bcd126fff705cd175c55.png)
北航光电子技术实验报告一、实验目的本次实验旨在使学生了解光电子技术的基本原理和应用,通过实验操作加深对光电子器件特性的认识,提高学生的动手能力和实验技能,培养学生解决实际问题的能力。
二、实验原理光电子技术是研究光与电子相互作用的科学,涉及光的产生、传输、检测以及光信号处理等多个方面。
本次实验主要围绕光的产生和检测进行,使用LED作为光源,光敏电阻作为光信号的检测元件,通过测量不同条件下的光电流,了解光电子器件的工作原理和性能。
三、实验设备与材料1. LED灯2. 光敏电阻3. 电源4. 万用表5. 电阻、电容等电子元件6. 面包板及连接线四、实验步骤1. 搭建电路:在面包板上搭建一个简单的电路,将LED灯与光敏电阻串联,通过调节电源电压,使LED灯发光。
2. 测量光电流:使用万用表测量光敏电阻两端的电压,记录不同电压下的光电流值。
3. 改变光源:更换不同颜色的LED灯,重复步骤2,观察光电流的变化。
4. 光信号调制:通过改变LED灯的亮灭频率,模拟光信号的调制过程,测量光敏电阻的响应。
5. 数据记录:记录所有实验数据,包括不同光源下的光电流值,以及光信号调制时的响应情况。
五、实验结果通过实验,我们得到了以下结果:1. 不同颜色的LED灯发光时,光敏电阻的光电流值不同,其中红色LED灯下的光电流最小,蓝色LED灯下的光电流最大。
2. 随着LED灯电压的增加,光电流值呈线性增加。
3. 在光信号调制过程中,光敏电阻能够灵敏地响应光信号的变化,光电流随光信号的亮灭而变化。
六、实验分析1. 光敏电阻对不同颜色的光响应不同,这与光敏电阻的光敏材料有关,不同材料对不同波长的光敏感度不同。
2. 光电流与LED灯电压的关系表明,光电流的大小与光源的亮度成正比,即光源越亮,产生的光电流越大。
3. 光信号调制实验结果表明,光电子器件可以用于光通信领域,实现光信号的传输和处理。
七、结论通过本次实验,我们深入了解了光电子技术的基本原理和应用,掌握了光电子器件的工作原理和性能。
北邮电子电路综合设计实验报告
![北邮电子电路综合设计实验报告](https://img.taocdn.com/s3/m/248560d189eb172ded63b7c3.png)
北京邮电大学电子电路综合设计实验报告课题名称:函数信号发生器的设计学院:信息与通信工程学院 班级:2013211123姓名:周亮学号:2013211123班内序号:9一、 摘要方波与三角波发生器由集成运放电路构成,包括比较器与RC积分器组成。
方波发生器的基本电路由带正反馈的比较器及RC组成的负反馈构成;三角波主要由积分电路产生。
三角波转换为正弦波,则是通过差分电路实现。
该电路振荡频率和幅度便于调节,输出方波幅度大小由稳压管的稳压值决定,方波经积分得到三角波;而正弦波发生电路中两个电位器实现正弦波幅度与电路的对称性调节,实现较理想的正弦波输出波形。
二、关键词: 函数信号发生器 方波 三角波 正弦波三、设计任务要求1.基本要求:设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。
(1) 输出频率能在1-‐10KHz范围内连续可调,无明显失真。
(2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。
(3) 三角波Uopp=8V(误差小于20%)。
(4) 正弦波Uopp1V,无明显失真。
2. 提高要求:(1) 输出方波占空比可调范围30%-‐70%。
(2) 三种输出波形的峰峰值Uopp均可在1V-‐10V内连续可调电源电路 方波-‐三角波发生电路 正弦波发生电路方波输三角波输正弦波输现输出信号幅度的连续调节。
利用二极管的单向导通性,将方波-‐三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。
五、分块电路和总体电路的设计过程1. 方波-‐三角波产生电路设计过程:①根据所需振荡频率的高低和对方波前后沿陡度的要求,选择电压转换速率S R合适的运算放大器。
方波要求上升、下降沿小于10us,峰峰值为12V。
LM741转换速率为0.7V/us,上升下降沿为17us,大于要求值。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子电路设计实验仪器科学与光电工程131713尧爸爸2016.4.18实验一:共射放大器分析与设计一、实验目的(1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。
(2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察静态工作点的变化对输出波形的影响。
(3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。
(4)观察失真现象,了解其产生的原因。
二、实验电路三、实验过程(1)请对该电路进行直流工作点分析,进而判断管子的工作状态。
操作步骤如下:Simulate-Analyses-DC Operating Point图1直流工作点为Ib=6.215uA,Ic=966.535uA,Uce=6.766V图2由上V(1)为c极;V(4)为b极;V(2)为e极由此可得Ube=0.619V,Ucb=6.14710V说明发射结正偏,集电结反偏,三极管工作在放大状态。
(2)请利用软件提供的各种测量仪表测出该电路的输入电阻。
用万用表测量输入端的电压和电流,电路图接法如图3所示(将万用表选为交流电压和交流电流档):图3测量结果为:图4经计算得到,输入电阻为3166Ω(3)请利用软件提供的各种测量仪表测出该电路的输出电阻。
这里注意一定要将输出回路断开,再接入万用表,采用测量开路电压和短路电流的方法测量输出电阻。
否则测量的是最后负载电阻的阻值。
用万用表测量输出端的电压和电流,接法如图如5所示(将万用表先后选为交流电压和交流电流档):图5测量结果为:图6经计算得到,输出电阻为2557.23Ω(4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。
采用波特测试仪如下图7搭接电路:图7该电路的幅频、相频特性曲线如图8所示图8(5)请利用交流分析功能给出该电路的幅频、相频特性曲线。
操作步骤如下:Simulate-Analyses-AC Operating,选择分析点为输出电压,得到下图9:图93dB带宽是指示数为最大值的0.707时,对应的fL和fH,图中测得最大值为19.1037V,则3dB带宽应对应纵轴为13.5063V,fH=283.1MHz,fL=79.4Hz(6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。
(提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注意信号源幅度和频率的选取,否则将得不到正确的结果。
)利用示波器分别得到在30Hz、1KHz、100KHZ、4MHz和100MHz五个频点的输入和输出曲线如下(其中蓝线表示输入,红线表示输出):图10 频率为30Hz时输入输出曲线图12 频率为1KHz时输入输出曲线图13 频率为100KHz时输入输出曲线图14 频率为4MHz时输入输出曲线图15 频率为100MHz时输入输出曲线频率输入/mV输出/mV放大倍数相位差30Hz14.13716.389 1.16-32°1KHz14.13987.486 6.19-173°100KHz13.94087.309 6.26179°4MHz13.99561.455 4.39134°100MHz13.881 3.6160.2677°由(4)中的幅频、相频曲线可以测量得到在这五个频点下的放大倍数和相位差如下表2所示:频率放大倍数相位差30Hz 1.19-34.13°1KHz 6.79-172.0°100KHz 6.83-178.62°4MHz 4.79133.80°100MHz0.2676.71°对比表1和表2可知,在同一频率下,两者所得到的放大倍数和相位差相差不大,但不完全相同,造成偏差的原因有两点:①在幅频、相频曲线测量中不能很精准地对牢所要测量的频率,有很小的偏差。
②实验使用示波器的过程中发现示波器的示数一直在跳变,虽然跳变不大,但仍会有误差存在。
(7)试改变原电路中某些电阻的阻值,以达到改变静态工作点的目的。
并分别使电路产生截止失真和饱和失真,给出这时的电路原理图及其元件值。
试利用直流工作点分析来说明产生这种现象的原因。
①截止失真时的电路原理图如图16所示:图16截止失真波形如图17,从中可以看出波形的上半部分明显小于下半部分,即波形的顶部失真。
图17图18从直流工作点中可以看出U be=0.235V<0.619V(0.619V为原来工作在放大区时对应的U on),且U ce>U be,故此时的静态工作点位于截止区,即此时为截止失真。
②饱和失真时的电路原理图如19所示图19饱和失真波形如图20,从中可以看出波形的下半部分明显小于上半部分,即波形的底部失真。
图20图21从图21中可以看出U be=0.672V>U on,且U ce<U be,故此时的静态工作点位于饱和区,即此时为饱和失真。
实验二:射级跟随器分析与设计一、实验目的通过使用Multisim来仿真电路,测试如图2所示的射随器电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察静态工作点的变化对输入输出特性的影响。
二、实验电路三、实验过程(1)对该电路进行直流工作点分析,进而判断管子的工作状态直流工作点如图1所示图1从图1中可以看出说明发射结正偏,集电结反偏,三极管工作在放大状态。
(2)利用软件提供的各种测量仪表测出该电路的输入电阻电路图接法及测量结果如图2:图2经过计算可得输入电阻为。
(3)利用软件提供的各种测量仪表测出该电路的输出电阻通过测量输出端开路电压和短路电流可以测得输出电阻,电路图接法如图3所示(将万用表先后调为交流电压和交流电流档):图3测量结果如图4:图4经过计算得输出电阻为。
分析:由(2)、(3)可以知道射极跟随器电路的输入电阻大而输出电阻小,说明该电路从信号源获取信号的能力强且带载能力也很好。
(4)利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。
通过仿真软件中提供的波特图仪可以绘制出其幅相频特性如图5所示(5)利用交流分析功能给出该电路的幅频、相频特性曲线图6通过交流分析功能也可以得到该电路的幅相频特性曲线,对比(4),可以知道在1Hz—10 GHz 的频率范围内,两者的结果一致。
(6)用瞬态分析法分析其电压跟随器特性,随意改变负载电阻阻值,观察输出特性有何变化。
我们通过结合瞬态分析与参数扫描功能,绘制出了在负载电阻为不同阻值的情况下(从)输入输出特性曲线,从图7中可以看到,在不同阻值下的输入输出特性曲线几乎完全重合,因而说明射极跟随器电路的电压跟随特性十分出色。
实验三:差动放大器分析与设计一、实验目的(1)通过使用Multisim来仿真电路,测试如图3所示的差分放大电路的静态工作点、差模电压放大倍数、输入电阻和输出电阻。
(2)加深对差分放大电路工作原理的理解。
(3)通过仿真,体会差分放大电路对温漂的抑制作用。
二、实验电路三、实验过程(1)请对该电路进行直流工作点分析,进而判断电路的工作状态。
分析其直流工作点如下图1所示:图1从图中可以看出Q1、Q2、Q3的集电极电压大于基极电压,基极电压大于发射极电压,即集电结反偏,发射结正偏,Q1、Q2、Q3工作在放大区。
Q4的集电极电压等于基极电压,基极电压大于发射极电压,也满足工作在放大区的条件。
(2)请利用软件提供的电流表测出电流源提供给差放的静态工作电流。
电流表在电路中的接法如下图2所示:图2电流表的参数设置为电流档及直流档,如图3所示:图3从图中可以看出电流源供给差放的静态工作电流为721.403uA。
(3)请利用软件提供的各种测量仪表测出该电路的输入、输出电阻。
输入电阻测量电路及结果为(为了更好地看出连接方式,将各导线加粗):图4经计算得输入电阻为36.94kΩ。
输出电阻测量电路为:图5测量结果为:图6经计算得输出电阻为1kΩ。
(4)请利用软件提供的各种测量仪表测出该电路的单端出差模放大倍数。
图7图8测量差模放大倍数的方法如下:先测量输入电压,将万用表接在电源两头,如图7所示的接法,双击万用表设置万用表为电压档和交流档,运行电路稳定后读出万用表的示数,即输入电压为20mV,再测量单端输出电压,将万用表接在负载两端,接法如图8所示,万用表设置不变,读出输出电压为101.096mV。
由此计算单端输入单端输出差模放大倍数为5.05。
(5)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。
使用所提供的波特图仪测该电路的幅频、相频特性曲线,波特图仪的接法如图9所示,双击波特图仪进行相关设置,设置结果如图15所示。
运行该电路,点击图10中的Save按钮,保存完毕后在工作栏中的Simulate下打开所保存的文档,打开结果如图11所示,即为该电路的幅频、相频特性曲线。
图9图10图11(6)请利用交流分析功能给出该电路的幅频、相频特性曲线。
先点击Simulate下的AC analysis,如图12所示,在弹出的窗口中设置相应参数,如图13所示,其中输出选择V(8),设置好后点击按钮即可得到该电路的幅频、相频特性曲线如图14所示:图12图13图14(7)请利用温度扫描功能给出工作温度从0℃变化到100℃时,输出波形的变化。
图15(温度扫描的横坐标是运行时间)(8)根据前面得到的静态工作点,请设计一单管共射电路,使其工作点和原图电路的静态工作点一样。
利用温度扫描功能,给出单管共射电路工作温度从0℃变化到100℃时,输出波形的变化,比较单管共射电路与共射差分电路的区别。
所设计的单管共射放大电路如图16所示:图16其静态工作点如图17所示:图17原图所示差动放大电路的静态工作点如图18所示:图18比较上述两图可知所设计的单管共射电路的静态工作点与差动放大器的静态工作点基本一致。
利用温度扫描功能,给出单管共射电路的工作温度从0℃变化到100℃时,输出波形变化如图19所示:图19对比图15的差动放大器的工作温度从0℃变化到100℃时,输出波形的变化可以看出,单管共射电路在温度不同时,所输出波形产生漂移;而差动放大器在不同温度下,其输出波形基本一致,只有略微的漂移。
由此可看出,差分放大电路对温漂具有很好的抑制作用。
实验四:集成运算放大器应用一、实验目的1.了解集成运放的内部结构及各部分功能、特点;2.了解集成运放主要参数的定义,以及它们对运放性能的影响。
3.掌握集成运算放大器的正确使用方法;4.掌握用集成运算放大器构成各种基本运算电路的方法;5.掌握根据具体要求设计集成运算放大电路的方法,并会计算相应的元件参数;6.学习使用示波器DC、AC输入方式观察波形的方法,掌握输出波形的测量绘制方法。