交通灯控制器设计

合集下载

基于单片机的智能交通灯控制器设计

基于单片机的智能交通灯控制器设计

基于单片机的智能交通灯控制器设计一、本文概述随着城市化进程的加快,交通拥堵问题日益严重,智能交通系统的应用与发展成为解决这一问题的关键。

其中,智能交通灯控制器作为交通系统的重要组成部分,对于提高道路通行效率、保障行车安全具有重要意义。

本文旨在设计一种基于单片机的智能交通灯控制器,通过优化算法和硬件设计,实现交通灯的智能控制,以适应不同交通场景的需求,提升城市交通的整体运行效率。

本文将首先介绍智能交通灯控制器的研究背景和意义,阐述现有交通灯控制系统的不足和改进的必要性。

接着,文章将详细介绍基于单片机的智能交通灯控制器的设计方案,包括硬件电路的设计、控制算法的选择与优化等方面。

在此基础上,本文将探讨如何通过软件编程实现交通灯的智能控制,并讨论如何在实际应用中调试和优化系统性能。

文章将总结研究成果,展望智能交通灯控制器在未来的发展方向和应用前景。

通过本文的研究,旨在为城市交通管理提供一种新的智能化解决方案,为缓解交通拥堵、提高道路通行效率提供有力支持。

本文的研究也有助于推动单片机技术和智能交通系统的发展,为相关领域的研究和实践提供有益的参考和借鉴。

二、单片机技术概述单片机,即单片微型计算机(Single-Chip Microcomputer),是一种集成电路芯片,它采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O 口和中断系统、定时器/计时器等功能集成到一块硅片上,构成一个小而完善的微型计算机系统。

单片机以其体积小、功能强、成本低、可靠性高、应用广泛等特点,广泛应用于工业控制、智能仪表、家用电器、医疗设备、航空航天、军事装备等领域。

单片机作为智能交通灯控制器的核心部件,具有不可替代的重要作用。

它负责接收来自传感器的交通信号输入,根据预设的交通规则和算法,快速作出判断,并输出相应的控制信号,以驱动交通信号灯的亮灭和变化,从而实现交通流量的有序控制和疏导。

(完整word版)数电——交通灯控制器设计

(完整word版)数电——交通灯控制器设计

(完整word版)数电——交通灯控制器设计大连交通大学电气信息学院综合设计报告设计名称:数字逻辑综合设计设计题目:交通灯控制器学生学号:专业班级:学生姓名:第一章课题背景1。

1 背景如今随着人们生活水平的提高,车辆越来越多,交通事故频繁发生。

交通信号灯的出现,使交通得以有效管制,对于疏通交通流量,提高道路通行能力,减少交通事故有明显效果。

交通灯在城市交通中起着重要的作用,它与人们日常生活密切相关,是人们出行的安全保障。

因此提供一个问题、安全、便捷的多功能交通灯控制系统有着现实的必要性.为了解决这些问题,我们更应该提高交通控制和管理水平,合理使用现有交通设施,充分发挥其能力,提高交通效率,促进和谐交通的建立.目前交通灯控制系统的设计软件也种类繁多,有基于EDA设计的,基于单片机设计的,基于DSP设计的,基于ARM嵌入式的等。

还有用标准逻辑器件、可编程控制器PLC等方案来实现.但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及调试的困难。

所以现在国内外广泛采用EDA技术设计交通灯控制系统。

在国外,英国,澳大利亚,日本和美国等国家均在交通控制系统上日益完善。

如以澳大利亚悉尼为背景开发的交通自适应协调系统SCATS(Sydney Coordinated Adaptive Traffic System),英国的运输和道路研究所(TRRL)研制的SCOOT(Split Cycle Offset Optimization Technique)系统,日本的京三(Kyosan)系统等。

这些系统,大都是在各路口附近安装磁性环路监控器,由各路口的控制设备、人员将交通控制参数通过通讯网络输入微处理器,用小型计算机进行集中处理。

目前国内已有一些自主开发的城市交通控制系统,如公安部交通科学研究所开发的HT-UTCS系统,但它在整体性能上比国外同类系统仍有较大差距,只在一些中小城市得到一些应用。

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。

(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。

(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。

(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。

二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。

频率设定CLK1k对应的频率为50MHZ。

2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。

3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。

4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。

三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。

四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。

eda交通灯控制器课程设计

eda交通灯控制器课程设计

eda交通灯控制器课程设计课程设计:EDA交通灯控制器1. 课程背景和目标:EDA交通灯控制器是使用EDA(电子设计自动化)工具进行交通灯控制系统设计的课程。

学习该课程的目标是使学生能够熟练运用EDA工具进行交通灯控制系统设计,并能够理解交通灯控制系统的原理和设计方法。

2. 课程内容和安排:(1) 交通灯控制系统原理介绍:介绍交通灯控制系统的基本原理,包括信号机、信号控制方法和交通流量检测等。

(2) EDA工具介绍:介绍常用的EDA工具,如Verilog、VHDL等,并讲解其基本使用方法。

(3) 交通灯控制器设计流程:介绍交通灯控制器的设计流程,包括需求分析、功能设计、模块设计和系统集成等。

(4) 交通灯控制器设计实践:学生通过实践项目,使用EDA工具设计交通灯控制器。

项目涵盖设计、仿真、验证和生成等环节,学生需要独立完成设计并提交设计报告。

3. 课程教学方法:(1) 理论讲解:通过课堂讲解,介绍交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。

(2) 实践项目:学生通过实践项目,运用所学知识设计交通灯控制器,并进行仿真、验证和生成等环节。

(3) 讨论和案例分析:通过课堂讨论和案例分析,加深学生对交通灯控制系统的理解和应用能力。

(4) 指导和批评:教师对学生的设计进行指导和批评,帮助学生不断提高设计能力。

4. 评估方式:(1) 实践项目报告:学生独立完成实践项目,并提交设计报告,包括设计过程、仿真结果和验证结果等。

(2) 课堂测试:通过课堂测试检验学生对交通灯控制系统原理和EDA工具的理解程度。

(3) 课堂表现:评估学生的课堂出勤情况、学习态度和参与度等。

5. 参考教材:(1) 《交通信号控制原理与技术》高新泽(2) 《EDA与数字电路设计》陈骏等(3) 《数字电路与系统设计》刘敏衡等(4) 《系统设计自动化技术与EDA工具应用》杨学庆等以上是对EDA交通灯控制器课程设计的简要介绍。

课程内容涵盖了交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。

交通灯控制器课程设计

交通灯控制器课程设计
二号 楷体
目录
1 设计任务及要求 2 总体设计方案 3 控制电路设计
3.1 控制电路工作原理 3.2控制电路设计过程
4 倒计时电路设计
4.1具有同步置数功能的十进制减法计数器设计 4.2主干道和支干道倒计时电路设计
5 译码显示电路设计
5.1动态显示工作原理 5.2动态显示及译码电路设计
共阴极数码管:将每个数码管的公共端(阴极)分别接三-八译码器的输出, 三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码 输入端。
七段译码
报告要求
CONTENTS
01 封面
02 目录:四号 宋体
03
正文:小四 宋体 1.5 倍行距
04 参考文献 :五号 宋体
一号 宋体
四号 宋体
由具有同步置数功能的十进制减法计数器实现。
将2片级联实现2位十进制减法计数器。
当主干道或者支干道减法计数器值为01时,产生同步置数信 号,将下一状态计数初值置入。
状态
S0
00
S1
01
S2
10
S3
11
主干道预置数
D7D6 D5D4
D3D2 D1D0
0000
0101
0101
0000
0000
0101
0110
○ 黄灯每秒闪亮一次。
总体方案
时钟
红绿 灯
控制器
交通灯控 制器的功 能框图
倒计时 计数器
数码 显示扫描

、译码
设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支 干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且 均用0表示灭,1表示亮,则交通灯有如下四种输出 状态:
状态

交通灯控制器设计原理

交通灯控制器设计原理

交通灯控制器设计原理
交通灯控制器设计的核心原理主要包含定时器和译码器的工作方式。

定时器由不系统秒脉冲(由时钟脉冲产生器提供)同步的计数器构成。

计数器在状态信号ST作用下首先清零,然后在时钟脉冲上升沿作用下,计数器从零开
始进行增1计数,向控制器提供模5的定时信号TY和模25的定时信号TL。

译码器则输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作。

控制器是系统的主要部分,由它控制定时器和译码器的工作。

此外,还需要考虑到实际应用中的一些细节,例如信号灯的闪烁频率、颜色和持续时间等,以确保交通灯控制器能够有效地控制交通流量,提高交通效率并保障交通安全。

以上内容仅供参考,如需更多信息,建议查阅相关文献或咨询交通工程专家。

交通灯PLC控制系统设计

交通灯PLC控制系统设计

交通灯PLC控制系统设计交通灯是城市交通管理的重要组成部分,交通灯控制系统的设计对于保障交通安全和优化交通流量起着关键作用。

PLC(可编程逻辑控制器)技术在交通灯控制系统中得到了广泛应用,本文将从系统设计的整体框架、PLC程序设计、硬件选型以及系统特点等方面来详细介绍。

交通灯PLC控制系统设计的整体框架主要包括信号采集模块、信号处理模块、控制模块和执行模块四部分。

信号采集模块主要负责将交通流量、行人流量等信息转化为电信号输入给PLC控制器;信号处理模块对采集到的信号进行处理,如检测交通流量的高低以及行人通过的情况;控制模块根据信号处理结果,生成控制信号输出给执行模块;执行模块实现交通灯的控制,通过电路和执行器实现交通灯的开关。

PLC程序设计是交通灯PLC控制系统设计的核心部分,主要包括输入端口设置、控制逻辑设计、输出端口设置和通信设置等。

在输入端口设置中,确定采集到的数据类型和数据源,如交通流量和行人流量分别通过传感器采集。

控制逻辑设计是根据交通灯的状态和信号控制规则确定交通灯的控制方式,比如根据交通流量高低切换交通灯的状态。

输出端口设置是将确定好的控制信号输出到对应的执行模块,如输出信号控制交通灯的红绿灯状态。

通信设置是实现与其他相关系统的联动,如与监控系统的数据交互。

硬件选型是交通灯PLC控制系统设计的重要环节,主要包括PLC控制器、传感器、执行器和电源等。

PLC控制器应该具有高性能、稳定可靠的特点,能够满足交通灯控制系统的需求。

传感器的选型应基于交通流量和行人流量的检测需求,常用的有光电传感器、气压感应器等。

执行器的选型应根据交通灯的类型确定,如LED灯管、数码管等。

电源的选型应满足交通灯控制系统的供电需求,选用稳定可靠的电源。

交通灯PLC控制系统设计具有以下特点:灵活性高、可靠性强、实时性好。

PLC控制器的可编程性使得交通灯的控制逻辑可以根据实际需求进行灵活调整,满足不同时间段的交通流量要求。

交通灯控制器+数字电路课程设计报告

交通灯控制器+数字电路课程设计报告

交通灯控制器+数字电路课程设计报告交通灯控制器是交通管理系统中的重要组成部分,其主要作用是控制道路上的交通信号灯。

随着数字电路技术的发展,交通灯控制器也逐渐向数字化、智能化方向发展。

本文将详细介绍一种基于数字电路的交通灯控制器设计,以及该设计方案的实现和效果。

一、设计方案1.硬件设计硬件设计方案主要包括数字电路的选择、交通灯的控制模块、传感器等。

本方案选用FPGA芯片作为控制芯片,该芯片具有先进的数字信号处理能力和可编程性,便于开发和定制。

交通灯的控制模块包括红灯、黄灯、绿灯三个信号灯的控制器,以及车辆、行人传感器等。

其中车辆传感器主要用来检测车流量,行人传感器主要用来检测行人通行情况。

2.软件设计软件设计方案主要包括程序的设计和调试,以及人机界面的设计和开发。

程序设计方案采用Verilog HDL语言进行实现,采用时序逻辑设计的思路来编写程序,实现红绿灯的控制和状态转移。

人机界面采用C语言进行编写,通过串口通信与控制芯片进行数据传输和控制。

二、实现过程在设计方案确定后,我们进一步开始实现。

首先是电路的焊接和测试,在确定电路正常无误后,再完成程序的编写和调试。

最后是人机接口的开发和完善。

具体实现流程如下:1.电路焊接首先进行电路布线和焊接,将FPGA芯片、光耦隔离器、电位器等元器件焊接到电路板上,以及信号灯、传感器等元器件的接入。

2.程序编写利用Verilog HDL语言编写程序,主要包括红绿灯状态的转移逻辑和相应的信号输出控制。

程序设计过程中,需要注意时序和状态的转移。

3.调试测试完成程序编写后,需要进行相应的调试测试。

通过仿真测试,检查程序逻辑是否正确,排除潜在问题。

在硬件实验平台上进行测试,确定系统能够正常工作。

4.人机界面开发利用C语言编写人机界面,实现与交通灯控制器的交互控制。

实现车辆、行人传感器的数据采集和显示,以及人手动控制交通灯的功能。

三、实现效果通过测试和实验验证,本文的交通灯控制器设计方案具有以下优势:1.使用FPGA芯片作为控制芯片,具有较强的可编程性和数字信号处理能力。

(完整word版)交通灯控制器课程设计

(完整word版)交通灯控制器课程设计

河南科技学院新科学院电子课程设计报告题目:交通灯控制器设计专业班级:电气工程及其自动化094姓名:胡金友时间:2011.6.8 ~2011.6.18指导教师:孔晓红苗青林完成日期:2011年6月15日交通灯控制器设计任务书1设计目的与要求设计一个交通灯控制器,要认真并准确地理解有关要求,独立完成系统设计,在双干线的路口上,交通信号灯的变化按照下面假定进行计时:(1)放行线,绿灯亮放行25秒,黄灯亮警告5秒,然后红灯亮禁止。

(2)禁止线,红灯亮禁止30秒,然后绿灯亮放行。

使两条路线交替的成为放行线和禁止线,便可实现交通控制。

(3)特殊情况下能实现手动操作。

2.设计内容(1)画出电路原理图,正确使用逻辑关系;(2)确定元器件及元件参数;(3)进行电路模拟仿真;(4)SCH文件生成与打印输出;(5)PCB文件生成与打印输出。

3.编写设计报告写出设计的全过程,附上有关资料和图纸,有总结体会。

4.答辩在规定时间内,完成叙述并回答问题。

目录1设计任务 (2)1.1交通灯控制器设计任务书 (2)1.2引言 (3)2设计方案 (3)2.1总体设计方案说明 (4)2.2模块结构与电路图 (5)3整体电路 (10)4设计总结 (11)5参考文献 (11)交通灯控制器设计摘要:为确保车辆安全,行人安全有序地通过城市交通叉路口,本设计介绍一种线路简单、成本低、体积小、可靠性高的全电子指挥信号灯控制器。

利用74LS190、74LS139、NE555等芯片简易的实现交通指挥信号灯的全自动化控制。

关键词:交通灯、计时器、控制器、秒脉冲1引言生活中跟大家关系最为密切的交通是道路,因为每个人都要走路,所以交通灯就尤其重要.交通灯是控制陆地交通的枢纽,如果没有交通灯的出现,那么现在社会人类恐怕连路都不好走了.特别是上下班高峰时候,交通就是非常拥挤,这个时候交通灯就起了关键作用.它起了分流交通作用,不会造成交通堵塞.它按照上下班高峰期、顺畅期等交通流量的不同而设置各车道的通行时间,那样以后车辆就会各就各位,不会有什么抢道和车辆碰撞啦,还有车与人争过街的事情发生啦,交通就会井然有序了,人们走路就安心多了,汽车就会更快的到达。

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计交通灯信号控制器是城市道路交通管理系统中的重要组成部分,通过控制交通信号灯的变换来指挥车辆和行人的通行,以确保交通有序、安全、高效。

为了提高交通信号控制器的性能和稳定性,通常会进行仿真设计来对其进行优化和测试。

本文将介绍交通灯信号控制器的仿真设计过程,并详细讨论其原理和实现方法。

一、交通灯信号控制器的原理在城市道路交通中,交通灯信号控制器需要根据路口的车流量和行人需求来确定每个方向的绿灯时间,以实现交通的高效通行。

同时,还需要考虑到不同时间段交通流量的变化,灵活地调整交通信号的变换时间,以达到最佳的交通控制效果。

二、交通灯信号控制器的仿真设计方法1.确定仿真目标:首先需要明确交通灯信号控制器的仿真目标,包括优化绿灯时间、减少等待时间、提高交通效率等指标。

根据这些目标,确定仿真模型的概要设计和实现方法。

2.建立仿真模型:根据交通灯信号控制器的原理和实际运行情况,建立相应的仿真模型。

这包括车辆和行人的动态模型、交通信号灯的工作模式、路口的拓扑结构等方面。

3.设定仿真参数:确定仿真所需的参数,包括车辆流量、行人需求、信号灯变换时间、路口长度等。

根据实际情况,设定合理的参数范围,以确保仿真结果的准确性。

4.编写仿真程序:利用仿真软件或编程语言,编写交通灯信号控制器的仿真程序。

根据建立的模型和设定的参数,模拟不同情况下的交通流量和信号控制效果,评估控制器的性能和稳定性。

5.优化设计方案:根据仿真结果,对交通灯信号控制器的设计方案进行优化和改进。

可以调整绿灯时间、增加延时器、改变信号灯的配时等方法,以提高交通控制效果。

6.验证仿真结果:对优化后的设计方案进行验证,检验其效果和可靠性。

通过对比仿真结果和实际数据,评估交通灯信号控制器的性能和稳定性。

三、交通灯信号控制器的仿真设计案例以市中心的交通路口为例,设计一个交通灯信号控制器的仿真方案。

该路口存在车辆和行人的交通需求,需要根据不同时段的交通流量来控制信号灯的变换,以确保交通有序通行。

交通灯控制器课程设计

交通灯控制器课程设计

交通灯控制器 课程设计一、课程目标知识目标:1. 理解交通灯控制器的基本原理,掌握其电路组成及功能。

2. 学习并掌握交通灯控制器中的基础电子元件及其工作原理。

3. 了解交通灯控制器的实际应用,理解其在交通安全中的作用。

技能目标:1. 能够运用所学知识设计简单的交通灯控制器电路。

2. 学会使用相关工具和仪器进行电路搭建和调试。

3. 提高分析问题和解决问题的能力,通过实践操作培养动手能力。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学的精神。

2. 增强学生的团队合作意识,培养沟通与协作能力。

3. 培养学生的安全意识,让他们明白遵守交通规则的重要性。

课程性质:本课程为电子技术实践课程,结合理论教学,注重培养学生的动手实践能力和创新思维。

学生特点:考虑到学生所在年级,已有一定的基础知识,具备初步的分析和解决问题的能力,对电子技术有一定的好奇心。

教学要求:在教学过程中,注重理论与实践相结合,鼓励学生积极参与讨论和实践活动,培养他们的自主学习能力和创新意识。

通过课程学习,使学生能够达到上述设定的具体学习成果。

二、教学内容1. 交通灯控制器原理- 红绿灯工作原理及切换逻辑- 时序控制器的基本概念- 电路图解读及元件功能分析2. 基础电子元件- 电阻、电容、二极管、三极管等元件的特性与应用- 传感器及其在交通灯控制器中的作用3. 交通灯控制器电路设计- 电路图的绘制与解读- 元件的选型与连接- 电路搭建及调试方法4. 实践操作- 搭建简单交通灯控制器电路- 编写控制程序,实现交通灯自动切换- 故障排查与电路优化5. 交通灯控制器应用案例- 实际交通场景中的交通灯控制器应用- 交通安全与节能减排的意义教学内容安排与进度:第一课时:交通灯控制器原理,红绿灯工作原理及切换逻辑第二课时:基础电子元件,电路图解读及元件功能分析第三课时:交通灯控制器电路设计,电路图的绘制与解读第四课时:实践操作,搭建简单交通灯控制器电路第五课时:编写控制程序,实现交通灯自动切换,故障排查与电路优化第六课时:交通灯控制器应用案例,讨论交通安全与节能减排的意义教材章节关联:本教学内容与教材中关于数字电路、电子元件、电路设计等相关章节紧密关联,通过本课程的学习,使学生能够将理论知识与实践相结合,提高综合运用能力。

交通灯控制器数电课程设计

交通灯控制器数电课程设计

交通灯控制器数电课程设计一、引言交通灯控制器是城市交通管理中的重要设备,用于控制道路上的交通信号灯的亮灭状态。

本文将基于数电课程设计一个简单的交通灯控制器电路,并介绍其原理和实现过程。

二、设计原理交通灯控制器的设计需要考虑以下几个方面的因素:1. 灯的亮灭状态:交通灯通常包括红灯、黄灯和绿灯,每种灯的亮灭状态需要根据交通规则进行控制。

2. 灯的切换时间:交通灯的切换时间需要合理设置,以保证交通流畅和安全。

3. 输入信号的获取:交通灯控制器需要根据外部输入信号来控制灯的切换,如道路上的车辆、行人等。

三、电路设计1. 时钟电路:交通灯控制器需要一个时钟信号来控制灯的切换时间。

可以通过使用555定时器构建一个稳定的时钟电路。

2. 计数器电路:交通灯控制器需要一个计数器来计算时间,并根据时间来控制灯的切换。

可以使用74LS90或74LS93等计数器芯片实现。

3. 逻辑门电路:交通灯控制器需要逻辑门电路来实现交通灯状态的控制和切换。

可以使用与门、或门、非门等逻辑门芯片来实现。

四、实现过程1. 时钟电路的设计:根据555定时器的工作原理,选择合适的电阻和电容值,构建一个稳定的时钟电路。

2. 计数器电路的设计:根据交通灯的切换时间要求,设置计数器的计数值,并将计数器与时钟电路连接,实现计数器的工作。

3. 逻辑门电路的设计:根据交通灯的状态要求,使用逻辑门芯片构建一个交通灯控制电路,实现交通灯的切换和控制。

4. 输入信号的获取:可以使用传感器等设备来获取道路上的车辆、行人等输入信号,并将其与交通灯控制器连接,实现灯的切换。

五、功能扩展1. 灯的数量扩展:可以根据实际需要,扩展交通灯的数量,如添加左转灯、右转灯等。

2. 信号优先级控制:可以根据不同道路的交通状况,设置交通灯的信号优先级,以提高交通效率。

3. 线路保护功能:可以在交通灯控制器中添加线路保护装置,以防止线路过载或短路等故障。

六、总结本文基于数电课程设计了一个简单的交通灯控制器电路,并介绍了其原理和实现过程。

交通信号灯控制器设计

交通信号灯控制器设计

前言红绿交通灯自动控制系统在城市十字(或丁字)路口有着广泛的应用。

随着社会的进步,人们生活水平的提高,私家车数量会不断增加,对城市交通带来前所为有的压力。

道路建设也将随之发展,错综复杂的道路将不断增多。

为维持稳定的交通秩序,红绿灯自动控制系统将得到更为广泛的应用。

无论在大城市还是中小城市街道的十字路口,每条道路都各有一组红,黄,绿信号灯,用以指挥车辆和行人有序地通过十字路口。

红灯(R)亮表示该道路禁止通过;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通过。

交通灯控制器即交通信号定时控制系统就是用来自动控制十字路口三组红、黄、绿三色交通信号灯,指挥各种车辆和行人安全通信,以实现十字路口交通管理的自动化。

本设计应用基本数字电路知识,采用LED灯作红、绿、黄三交通灯,用数码管作同步倒计时显示,实现两方向通行时间相等的控制并配有倒计时。

目录第一章.系统概要 (3)1.1 设计思路 (3)1.2原理和总体设计方案 (4)1.2.1原理 (4)1.2.2总体设计方案构思 (4)1.3功能的划分及组成 (4)第二章.总的设计方案 (5)2.1设计任务及主要技术指标和要求 (5)2.2工作流程: (5)2.3工作流程图 (6)2.4方案设计 (6)2.4.1方案构思 (6)2.4.2方案的可行性论证 (6)第三章.单元电路设计 (7)3.1秒信号产生电路 (7)3.2主控电路(交通灯信号状态控制器设计) (8)3.2.1状态指令和编码 (8)3.2.2求交通灯控制函数及电路 (9)3.3定时译码显示系统的设计 (11)3.3.1定时电路 (11)3.3.2计数译码显示电路 (12)第四章元器件选择及介绍 (13)第五章.电路调试设计总结 (17)附录1:完整的设计电路图附录2:元器件清单参考文献交通信号灯控制器设计摘要:分析交通信号灯控制系统应用要求及设计原理,设计出能够满足实际应用要求的交通信号灯控制器。

通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

交通信号灯控制器设计

交通信号灯控制器设计
将秒信号引入定时系统电路脉冲输入端,在秒脉冲的 作用下,将三个74LS245的置数选通端依次接地,计数器 应以三个不同的置数输入为进制体制,完成减法计数, 两位数码管应有相应的显示。否则应查找原因。
把各个单元电路互相连接起来,进行系统通调。
3.定时系统
根据设计要求,交通灯控制系统要有一个能自动装入 不同定时时间的定时时间器,以完成30秒,20秒,5秒的定时 任务。时间状态由两片74LS47预置到减法计数器的时间常数通 过三片8路双向三态门74LS245来完成。三片74LS245的 输入数据分别接入30,20,5三个不同的数字,任一输入数据 到减法计数器的置入由状态译码器的输出信号控制不同 74LS245的选通信号码来实现。
状态.它们之间的关系如下真值表.对于信号灯的状态,``1”表示灯
亮,``0”表示灯灭.
状态控制器输出 主干道信号灯
支干道信号灯
Q2 Q1 R Y G r y g
0 0001 10 0 0 1010 10 0 1 0100 00 1 1 1100 01 0
根据真值表,可列出各信号灯的逻辑函数 表达式为:
根据设计任务要求,当黄灯亮时,红灯应按 1HZ的频率闪烁.从状态译码器真值表中看出, 黄灯亮时,Q1必为高电平;而红灯点亮信号与 Q1无关.现利用Q1信号去控制一三态门电路 74LS245(或模拟开关),当Q1为高电平时,将秒 信号脉冲引到驱动红灯的与非门的输入端,使 红灯在黄灯亮其间闪烁;反之将其隔离,红灯信 号不受黄灯信号的影响.
取一固定电阻47k与一5k 的电位器相串联代
替电阻R2。在调试电路时调节电位器Rp,使输出 脉冲周期为IS。
精品课件!
精品课件!
四.调试要点
首先调试秒信号发生器,用示波器监视秒信号发生器 的输出,调节电位器RW,使输出信号的周期为1秒。

FPGA实验报告-交通灯控制器设计

FPGA实验报告-交通灯控制器设计

FPGA实验报告--交通灯控制器设计院系:电子与信息工程系专业:通信工程班级:姓名:学号:指导教师:一、实验任务 1、任务名称:交通灯控制器的设计2、设计容与要求:① 设计一个十字路口交通信号灯的定时控制电路。

要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。

② 绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。

要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。

每次变换运行车道前绿灯闪烁,持续时间为5秒。

即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。

同理,当车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。

③ 对红、绿灯的运行时间要能比较方便的进行重新设置。

④ 对器件进行在系统编程和实验验证。

⑤ 用VHDL 语言对设计进行描述,设计一个测试方案,通过ISE 对设计进行仿真验证。

并能够下载到实验板上调试成功。

6 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。

2、补充功能与要求:1.在主干道和支干道添加左转向灯;2.各灯亮的时间及最后闪烁时间可调节;3.紧急路况时,主干道和支干道都为红灯。

二、实验环境1、ISE 软件一套;2、PC 机一台。

三、设计思路1、根据题目要求,知道整个交通灯的运行过程是周期的,所以可以设计一个总的计数器,满周期则清零;2、将灯闪烁时间、主干道绿灯亮的时间、主干道转向灯亮的时间、支干道绿灯亮的时间、支干道转向灯亮的时间分别记为变量t0、t1、t2、t3、t4,通过调整它们,实现调节各灯亮的时间;3、将所有需要显示的量由同一个信号表示并最终输出、显示在LCD上。

四、系统设计a)系统框图b)状态转换说明:主干道和支干道永远有且只有一个灯亮,紧急路况时两边红灯亮,其余时候有且只有一个红灯亮;主干道绿灯、主干道转向灯亮、支干道绿灯、支干道转向灯依次亮,在最后t0S(默认为5S)闪烁。

基于单片机的交通灯控制器的设计及实现

基于单片机的交通灯控制器的设计及实现

基于单片机的交通灯控制器的设计及实现交通灯控制器是一个广泛应用于城市交通系统中的设备,它用于控制交通信号灯的工作,确保交通流畅且安全。

在本篇文章中,将介绍基于单片机的交通灯控制器的设计与实现。

首先,交通灯控制器的设计需要考虑以下几个方面:1.硬件设计:交通灯控制器的硬件设计主要包括选择合适的单片机、电源电路、输入输出接口以及信号灯的电路设计。

合适的单片机应具有足够的输入输出引脚以及处理能力,常用的有51系列和STM32系列单片机。

电源电路需要稳定的直流电源供应,以确保交通灯的正常工作。

2.软件设计:交通灯控制器的软件设计包括控制算法的设计与编程。

控制算法需要根据交通流量和交通情况合理调配信号灯的时间,以实现交通流量的最优化。

通过编程,将控制算法转化为单片机可以执行的指令,以控制信号灯的切换。

3.安全设计:交通灯控制器的安全设计需要考虑各种异常情况的处理,如断电恢复、故障检测等。

在断电后,交通灯控制器应能够自动恢复到正常工作状态。

同时,应设计故障检测机制,及时发现并报警,以保证交通灯的正常工作。

实现基于单片机的交通灯控制器的步骤如下:1.确定交通路口的情况及需求:根据实际情况,确定交通路口的车流量、行人流量等因素,以确定交通灯控制器的设计方案。

2.硬件设计与搭建:选择合适的单片机,设计电源电路、输入输出接口以及信号灯的电路。

根据设计方案,搭建出交通灯控制器的硬件平台。

3.软件开发:编写控制算法的程序,并将其转化为单片机可以执行的指令。

在程序中,根据交通流量和交通情况,合理调配信号灯的时间,以实现交通流量的最优化。

4.测试与调试:将程序烧录到单片机中,并连接相关硬件,进行测试与调试。

通过模拟不同情况下的交通流量,验证交通灯控制器的工作效果。

5.安全设计与优化:加入安全设计机制,处理异常情况,并对交通灯控制器进行优化。

根据实际使用过程中的反馈,对控制算法进行调整,以提升交通流量控制的效果。

总结起来,基于单片机的交通灯控制器的设计与实现包括硬件设计与搭建、软件开发、测试与调试以及安全设计与优化等步骤。

十字路口交通灯控制器的设计(20页)

十字路口交通灯控制器的设计(20页)

较易交通灯控制器的实现
• OO • O O
o 妓0,
o 支干軋
j*r*.
ooe e
OQ*
•0
o
主千軋
廉打亮+
0 O«
o • OO O
e
輔b
O O

O
買灯冕
ooq


王干i
0
0 霣汀見*
OOO
为/让大家更易理解,我 们 先来看看十字路口简单 控 制器显示。右图为两交 通 灯的运行状态,东西、 南 北方向的不同状态组合
显示模块的VHOL程序,和黄 灯闪烁。
复杂十字路口交通灯控制器要比简单交通灯控制 器增加 一些功能,如倒计时时间显示,左转弯
(左拐)、指示灯闪烁及特殊紧急情况的处理等。 下面 以下面的十字路口交通灯系统为例,来说明 上述功能的 实现:
交通灯运行图表情况
东西方向 南北方向
S(0) S(l) S(2) S(3) S(4) S(5
LVTTL LVTTL
p roc e ss (clk,i:e
ieee.std_logic_unsigned.all;
set) begin
use woik.bintoBCD.all;
it ueset二'I' then
cnt<=(others=>'0);
entity jtd2 is
elsit clk'event and clk=’r
緑 s 黄左 黄 红 黄 灯 灯拐 灯 灯 灯 4 I灯 1 1 1
5 51 5 4 5 S5 s 0 s 5
(
s \) s (9 \17
z
)o
6 s( s( s

eda交通灯控制器设计

eda交通灯控制器设计

EDA交通灯控制器设计1. 简介交通灯控制器是城市交通系统中常见的设备之一,用于控制交叉路口的交通信号灯。

其中,EDA(Electronic Design Automation)技术在交通灯控制器的设计和开发中起到了重要的作用。

本文将介绍如何使用EDA技术设计一个高效可靠的交通灯控制器。

2. EDA技术在交通灯控制器设计中的应用EDA技术是通过计算机辅助设计软件来简化和自动化电子系统设计的过程。

在交通灯控制器设计中,EDA技术可以帮助工程师完成诸如原理图设计、电路仿真、PCB布局、逻辑综合等任务,大大提高了设计的效率和准确性。

2.1 原理图设计在交通灯控制器的设计中,首先需要进行原理图设计。

EDA软件可以提供丰富的元件库和易于使用的画图工具,使得工程师能够快速地绘制出交通灯控制器的原理图。

通过原理图设计,可以清晰地表示出交通灯控制器的各个部分之间的连接和信号流动关系。

2.2 电路仿真完成原理图设计之后,可以利用EDA软件进行电路仿真。

通过建立适当的电路模型和设置合适的仿真参数,可以验证交通灯控制器的设计是否符合预期。

电路仿真可以帮助工程师检测潜在的问题和优化设计,在实际制造之前发现并解决可能存在的故障。

2.3 PCB布局PCB(Printed Circuit Board)布局是将原理图设计转化为实际电路板的过程。

EDA软件可以根据原理图自动生成PCB布局,将各个元件的位置和连接关系准确地布置在电路板上。

通过使用EDA技术进行PCB布局,可以确保交通灯控制器的电路连接正确可靠,并且满足电磁兼容性和散热要求。

2.4 逻辑综合与优化在交通灯控制器的设计中,逻辑综合是将高级描述语言代码转化为低级逻辑网表的过程。

EDA软件可以根据设计人员提供的代码进行逻辑综合,并进行逻辑优化。

通过逻辑综合与优化,可以减少电路的延迟、功耗、面积等方面的问题,提高交通灯控制器的性能和效率。

3. EDA交通灯控制器设计流程3.1 设计需求分析在开始设计交通灯控制器之前,需要进行设计需求分析。

最新太原理工大学-eda课程设计-交通灯控制器

最新太原理工大学-eda课程设计-交通灯控制器

本科实验报告课程名称:CPLD/FPGA应用设计课设题目:交通灯控制器交通灯控制器一、设计要求设计一个由一条主干道和一条支干道的十字路口的交通灯控制器,具体要求如下:(1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。

(2) 主干道处于常允许通行状态,而支干道有车来才允许通行。

当主干道允许通行亮绿灯时,支干道亮红灯。

而支干道允许通行亮绿灯时,主干道亮红灯。

(3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,由亮绿灯变成亮红灯转换时,先亮5 s的黄灯作为过渡,并进行减计时显示。

二、设计方案1基本原理(1) 设置支干道有车开关SB。

(2) 系统中要求有45秒、25秒和5秒三种定时信号,需要设计三种相应的计时显示电路。

计时方法为倒计时。

定时的起始信号由主控电路给出,定时时间结束的信号输入到主控电路。

(3) 主控制电路的输入信号一方面来自车辆检测,另一方面来自45秒、25秒、5秒的定时到信号;输出有计时启动信号(置计数起始值)和红绿灯驱动信号。

(4) 状态转移如图所示,用状态机描述。

状态转移图(5) 模块结构模块结构图2设计框图交通灯控制器原理框图如下图所示,包括置数模块、计数模块、主控制器模块和译码器模块。

置数模块将交通灯的点亮时间预置到置数电路中,计数模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。

其中,核心部分是主控制模块。

三、实验设备计算机一台操作系统:WINDOWS XP软件: ispDesignEXPERT System硬件: 1016E开发板四、设计步骤1打开ispEXPERT软件,建立一个新的工程JTD单击菜单File→New Project,输入工程路径,工程名2建立VHDL文件单击File→New菜单项,选择VHDL File选项,单击OK按钮以建立VHDL文件,分别建立主控制器模块程序的vhd文件JTDKZ.vhd、计数器模块程序的vhd 文件jsq.vhd、七段译码器程序的vhd文件yima7.vhd和主程序的vhd文件zhu.vhd。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

学号:成绩:河北联合大学电气工程学院《综合性课程设计报告——交通灯控制器设计》专业:电子科学与技术班级:_ 11电技班 _姓名:__ 张___指导教师:__________2015年1月9日交通灯控制设计作者:张桂明摘要:本次课设是目的是通过Verilog_HDL语言对交通灯控制的设计,是同学们熟悉并掌握EDA技术、培养综合应用数字电子技术、EDA设计工具、HDL语言等各领域知识的能力、提高设计能力和实际操作能力。

本课题是利用Verilog_HDL语言自顶而下的设计方法设计交通的控制系统,并通过QuartusⅡ和ModelSim完成综合、仿真,对FPGA芯片进行编译下载。

把程序下载到FPGA芯片后,由于生成的是集成电路,所以故障率低、可靠性高、体积比较小,可应用于实际的交通灯控制系统中,使其实现道路交通的快速正常运转。

随着大规模集成电路的发展、EDA技术随之出现,本课题说明了EDA技术在数字电路设计中的优越性。

关键词:Verilog_HDL FPGA 交通灯控制器硬件描述语言1、简介1.1课程设计的目的和内容用Verilog HDL语言设计实现一个交通灯控制器电路:十字路口A方向和B方向各设红、黄、绿和左拐四盏灯,两个方向各种灯亮的时间能够进行设置和修改,此外,假设A方向是主干路,车流量大,因此A方向通行的时间应比B方向长;四盏灯按合理的顺序亮灭,并能将灯亮的时间以倒计时的形式显示出来。

每个方向四种灯依次按如下顺序点亮,并不断循环:绿灯—黄灯—左拐灯—黄灯—红灯,并且每个方向红灯亮的时间应该与另一方向绿、黄、左拐、黄灯亮的时间相等。

黄灯所起的作用是用来在绿灯和左拐灯后进行缓冲。

在本课程设计中,着重培养学生的如下能力:熟悉EDA技术概况。

培养综合应用数字电子技术、EDA设计工具、HDL语言等各领域知识的能力。

提高设计能力和实际操作能力,使学生能够独立完成具有一定难度的数字电子系统的设计,并锻炼动手实践能力。

1.2 Verilog语言简介Verilog HDL是一种硬件描述语言(HDL:Hardware Description Language),以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

Verilog HDL和VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。

前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。

两种HDL均为IEEE 标准。

1.3 Verilog HDL 的设计流程1)文本编辑:用任何文本编辑器都可以进行,也可以用专用的 HDL 编辑环境。

通常Verilog HDL 文件保存为 .v 文件。

2)功能仿真:将文件调入 HDL 仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只有在布线完成之后,才进行时序仿真)。

3)逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。

逻辑综合软件会生成 .edf ( EDIF )的 EDA 工业标准文件。

(最好不用MAX+PLUS II 进行综合,因为只支持 VHDL/Verilog HDL 的子集)4)布局布线:将 .edf 文件调入 PLD 厂家提供的软件中进行布线,即把设计好的逻辑安放到 CPLD/FPGA 内。

5)时序仿真:需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序也叫后仿真。

2、总体设计每个方向四种灯依次按如下顺序点亮,并不断循环:绿灯—黄灯—左拐灯—黄灯—红灯,并且每个方向红灯亮的时间应该与另一方向绿、黄、左拐、黄灯亮的时间相等。

黄灯所起的作用是用来在绿灯和左拐灯后进行缓冲,以提醒行人及驾驶员该方向上要禁行了;信号灯变换次序为:A主干道每次放行 40 秒,亮 5 秒黄灯让行驶中的车辆有时间停到禁行线外,左拐放行 15 秒,亮 5 秒黄灯;支干道放行 30 秒,亮 5 秒黄灯,左拐放行 15 秒,亮 5 秒黄灯......,各计时电路位倒计时显示。

图1 设计流程图根据系统的功能要求,可分为四个部分来实现,分别是定时模块,主控电路,译码驱动电路和扫描显示几部分。

分频部分是把外部提供的 1Hz 进行分频得到系统工作需要的工作脉冲,显示部分包括两个内容,一个是主干道红绿灯显示,另一个是支干道红绿灯显示。

然后将红绿灯显示时间以 BCD 码形式显示出来,显示模块将其译码轮流扫描显示 4 具有四种信号灯的交通灯控制器设计如图2交通信号灯控制器系统工作流程(1)主干道放行亮绿灯 40 秒,支干道红灯显示 65 秒;(2)主干道绿灯转黄灯 5 秒,支干道红灯显示 25 秒(3)主干道黄灯转左拐 15 秒,支干道红灯显示 20 秒;(4)主干道左拐转红灯 55 秒,支干道绿灯显示 30 秒;(5)主干道红灯显示 25 秒,支干道绿灯转黄灯 5 秒;(6)主干道红灯显示 20 秒,支干道黄灯转左拐 15 秒;(7)主干道亮红灯 5 秒,支干道左拐转黄灯 5 秒;(8)依次循环跳到主干道,红绿灯重新计时图2 设计电路图道红绿灯显示;输出部分为七段译码显示和位选码 MS,数码管显示的是交通信号灯转换时间。

3、实验方法3.1仿真平台简介Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。

它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

主要特点:RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真;单内核VHDL和Verilog混合仿真;源代码模版和助手,项目管理;集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能; C和Tcl/Tk接口,C调试;对SystemC的直接支持,和HDL任意混合;支持SystemVerilog 的设计功能;对系统级描述语言的最全面支持,SystemVerilog,SystemC,PSL; ASIC Sign off。

可以单独或同时进行行为(behavioral)、RTL级、和门级(gate-level)的代码。

3.2仿真步骤1)点开file,选择new,点击project,来创建一个新工程。

2)在弹出的对话框中输入工程名和库名称,这里直接采用默认库work,输入的工程名为“testtraffic”,输入完毕后点击ok完成。

3)在弹出的对话框中选中AddExistingFile按钮,找到文件存储的路径“modelsim”点击ok。

同时两个程序文件处会有两个问号。

4)右键点击空白处,选择其中的Compile选项,会出现一系列的编译方式。

最常用的是前两个,即编译选中文件Compile Selected。

同时两个程序文件处的问号变为对号。

5)选中Simulate,选择第二个start Simulation。

在弹出的对话框中选择work里的testtraffic并且去掉左下角的对号,点击ok。

6)右键点击testtraffic模块,选中Add,然后Add to Wave。

这时会出现一个新窗口:wave-default。

这里就是观察信号变化的区域,在仿真没有运行时,输出的信号均为空,快捷键中有Run-All按钮进行仿真。

4、仿真步骤图3 仿真结果示意图如图3所示,我们看出看出主干道红灯亮的时间等于支路绿灯,黄灯,左转灯,黄灯亮的时间之和,同时支路红灯亮的时间等于主干道绿灯,黄灯,左转灯,黄灯亮的时间之和。

本设计中设定A方向红、绿、黄、左拐灯亮的时间分别为55秒、40秒、5秒和15秒,B方向红、绿、黄、左拐灯亮的时间分别为:65秒、30秒、5秒和15秒,该系统满足我们的设计需求。

5、结论通过本次课设,是我从一个verilog HDL编程语言的菜鸟逐渐升级为一个感兴趣的初学者.体验到了细心对一个编程者的重要性,和程序的规范性对于程序的重要性在verilog语言中,我们必须注意其与C语言的异同,比如格式和变量定义,还有模块的调用,和时钟信号的应用。

还有,verilogHDL设计语言是一门很好的硬件描述语言,可以直白的描述实际的电路,实际的系统模型,易懂而且易于实现,我觉得在以后多加练习,可以对以后的学习和工作带来莫大的帮助。

通过做熊老师的课程设计培养了学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。

如果说大学的学习就像是在充实自己,那么课设的意义就是让所学的东西充分的发挥出来,我希望大学的制度也可以从大一就开始抓起,这才是对我们学生的一次提升。

在实战中自由发挥,只有困难才能让我们更加强大。

参考文献1、《CPLD/FPGA 应用系统设计与(基础篇)》作者:亿特科技人民邮电出版社出版日期:2005年7月书号:ISBN 7-115-13200-3/TP.45032、林明权.VHDL 数字控制系统设计范例(第一版)[M]北京:电子工业出版社;3、杨晓慧.电子技术 EDA 实践教程(第一版)[M],北京:国防工业出版社;附录module aa(clk,rst,LAMPA,ledcom,data_out);output[7:0] LAMPA ,ledcom,data_out; input clk,rst;reg[7:0]numa,ledcom;reg tempa ,i;reg[3:0] data_in;reg[3:0]counta;reg[7:0]ared,ayellow,agreen;reg[7:0]LAMPA,data_out;reg [24:0] c, k,c1;reg clk1s;reg clk_100;reg[19:0] cnt2;always @(posedge clk )beginif(c==12500000)beginc<=0;clk1s=~clk1s;endelsec<=c+1;endalways @(posedge clk )begincnt2<=cnt2+1;if(cnt2==100000)beginclk_100=~clk_100;cnt2<=0;endendalways@(posedge clk1s or negedge rst )//该模块控制东西方向的三种灯if(!rst)LAMPA<=8'b00001001;elsebeginayellow<=8'b00000110;agreen<=8'b00000111;if(!tempa)begintempa<=1;if(counta == 0)beginnuma<=agreen;LAMPA<=8'b00100001;//南北方向通绿灯亮东西方向红灯亮counta<=counta+1;endif(counta == 1)beginnuma<=ayellow;LAMPA<=8'b00010001;//南北方向黄灯亮东西方向红灯亮counta<=counta+1;endif(counta == 2)beginnuma<=ayellow;LAMPA<=8'b00000001;//南北方向黄灯灭东西方向红灯亮counta<=counta+1;endif(counta == 3)beginnuma<=ayellow;LAMPA<=8'b00010001;//南北方向黄灯亮东西方向红灯亮counta<=counta+1;endif(counta == 4)beginnuma<=agreen;LAMPA<=8'b00001100;//南北方向红灯亮东西方向绿灯亮counta<=counta+1;endif(counta == 5)beginnuma<=ayellow;LAMPA<=8'b00001010;//南北方向红灯亮东西方向黄灯亮counta<=counta+1;endif(counta == 6)beginnuma<=ayellow;LAMPA<=8'b00001000;//南北方向红灯亮东西方向黄灯灭counta<=counta+1;endif(counta == 7)beginnuma<=ayellow;LAMPA<=8'b00001010;//南北方向红灯亮东西方向黄灯亮counta<=0;endendelsebegin//倒计时模块if(numa)beginif(numa==0)begintempa<=0;endelsebeginif(numa[7:4]==4'b0000)beginnuma[3:0]<=4'b1111;numa[7:4]<= numa[7:4]-4'b0001;endelsenuma[3:0]<=numa[3:0]-4'b0001;endendendend////////////////////////////////////////////////////////////////////// always @(posedge clk_100 or negedge rst)if(!rst)begini<=0;ledcom<=8'b11111100;data_out<=8'b11000000;endelsebeginledcom<=~(1<<i);if(i==0)begindata_in<=numa[7:4];i<=i+1'b1;endelseif(i==1)begindata_in<=numa[3:0];i<=1'b0;endcase(data_in)4'b0000 : data_out <= 8'b11000000; //04'b0001 : data_out <= 8'b11111001; //14'b0010 : data_out <= 8'b10100100; //24'b0011 : data_out <= 8'b10110000; //34'b0100 : data_out <= 8'b10011001; //44'b0101 : data_out <= 8'b10010010; //54'b0110 : data_out <= 8'b10000011; //64'b0111 : data_out <= 8'b11111000;//74'b1000 : data_out <= 8'b10000000; //84'b1001 : data_out <= 8'b10011000; //9//4'b1111 : data_out <= 8'b10111111; default : data_out <= 8'b11000000; endcaseendendmodule。

相关文档
最新文档