交通灯控制器实验报告

合集下载

交通信号灯的plc控制实验报告

交通信号灯的plc控制实验报告

交通信号灯的PLC控制实验报告1. 引言交通信号灯是城市交通管理中不可或缺的一部分。

在过去的几十年里,随着科技的发展,人们开始使用PLC(可编程逻辑控制器)来控制交通信号灯,以提高交通流量的效率和安全性。

本实验旨在通过PLC控制交通信号灯的过程,介绍PLC的基础知识和应用。

2. 实验目的本实验的主要目的是通过搭建一个基于PLC的交通信号灯控制系统,实现信号灯的自动切换和交通流量的控制。

具体目标如下:•了解PLC的基本工作原理和编程方法•掌握交通信号灯的控制逻辑和时序•使用PLC软件进行信号灯控制程序的编写和调试3. 实验设备和材料本实验所需的设备和材料如下:•PLC控制器•交通信号灯模型•电源线•编程软件4. 实验步骤步骤1:PLC控制器的连接首先,将PLC控制器与电源线连接,并确保电源正常供电。

接下来,将交通信号灯模型与PLC控制器连接,确保信号灯能够通过PLC控制器进行控制。

步骤2:PLC编程软件的安装与设置在计算机上安装PLC编程软件,并根据软件的操作指南进行设置。

确保软件与PLC控制器成功连接,以便进行后续的编程和调试操作。

步骤3:PLC程序的编写根据交通信号灯的控制逻辑和时序,使用PLC编程软件编写相应的PLC程序。

程序的编写主要包括以下几个方面:•定义输入信号:根据实际情况,定义输入信号,如检测车辆和行人的传感器信号。

•定义输出信号:根据实际情况,定义输出信号,如交通信号灯的红、黄、绿灯控制信号。

•编写控制逻辑:根据交通信号灯的控制规则和时序要求,编写PLC 程序的控制逻辑。

例如,当检测到车辆或行人通过传感器时,相应的信号灯应亮起。

步骤4:PLC程序的调试与测试在编写完PLC程序后,进行程序的调试和测试。

通过PLC编程软件提供的仿真功能,模拟输入信号的变化,观察输出信号和交通信号灯的状态变化是否符合设计要求。

如有问题,及时修改程序并重新调试。

步骤5:实验结果分析根据实际测试结果,对实验结果进行分析和总结。

数字电路-交通灯控制器-实验报告

数字电路-交通灯控制器-实验报告

课题1.4.2:交通灯控制器一.设计课题的任务要求:(一)、实验目的1. 熟练掌握VHDL 语言和QuartusII 软件的使用;2. 理解状态机的工作原理和设计方法;(二)、相关知识本实验要利用CPLD 设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。

控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。

路口交通灯控制系统的有东西路和南北路交通灯R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。

设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。

提供系统正常工作/复位和紧急情况两种工作模式。

(三)、实验任务1.基本任务:设计制作一个用于十字路口的交通灯控制器。

1). 南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20 秒、5 秒和25 秒;2). 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,继续正常运行;3). 用数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间;二.系统设计(包括设计思路、总体框图、分块设计)(一)设计思路1.总体设计----输入部分:1)CLK时钟频率输入,可由实验板上直接提供,为准确确定时间长度,选择1024Hz信号。

2)紧急状态按键拨码开关EMERGENCY,当将其置为高电平,表示紧急情况发生,两个方向均为红灯亮,计时停止;当置其为低电平,信号灯和计时器恢复原来状态,正常工作。

3)复位拨码开关RESET,当将其置为高电平,表示复位,工作停止,全部回到初始状态;当置其为低电平,重新开始工作。

2.总体设计----输出部分:1)东西方向和南北方向各使用3个LED显示,LIGHT1,LIGHT2,红黄绿各代表红黄绿灯。

交通灯控制实验报告

交通灯控制实验报告

交通灯控制实验报告交通灯控制实验报告引言:交通灯是城市交通管理的重要组成部分,通过对交通流量的控制,有效地维护交通秩序和安全。

本次实验旨在通过搭建一个简单的交通灯控制系统,探究不同交通流量下的信号灯变化规律,并分析其对交通流畅度和效率的影响。

实验装置:实验装置由红、黄、绿三种颜色的LED灯组成,分别代表红灯、黄灯和绿灯。

通过按键控制,可以切换不同灯光的显示状态。

在实验过程中,我们将模拟不同交通流量情况下的信号灯变化。

实验过程:1. 低交通流量情况下:首先,我们模拟低交通流量情况。

设置红灯时间为20秒,绿灯时间为30秒,黄灯时间为5秒。

在这种情况下,红灯的时间较长,确保道路上的车辆能够安全通过。

绿灯时间相对较短,以充分利用交通资源,提高交通效率。

黄灯时间较短,用于过渡信号灯变化。

2. 中等交通流量情况下:接下来,我们模拟中等交通流量情况。

设置红灯时间为30秒,绿灯时间为40秒,黄灯时间为5秒。

在这种情况下,红灯时间相对较长,确保道路上的车辆能够顺利通过。

绿灯时间适中,以保持交通的流畅性。

黄灯时间依然较短,用于过渡信号灯变化。

3. 高交通流量情况下:最后,我们模拟高交通流量情况。

设置红灯时间为40秒,绿灯时间为50秒,黄灯时间为5秒。

在这种情况下,红灯时间最长,确保道路上的车辆能够完全通过。

绿灯时间相对较长,以缓解交通压力,提高交通效率。

黄灯时间仍然较短,用于过渡信号灯变化。

实验结果:通过实验观察,我们发现不同交通流量下的信号灯变化对交通流畅度和效率有着明显的影响。

在低交通流量情况下,红灯时间较长,确保车辆安全通过,但可能导致交通效率稍有降低。

在中等交通流量情况下,信号灯的设置更加平衡,保证了交通的流畅性和效率。

而在高交通流量情况下,红灯时间最长,确保车辆完全通过,但也导致交通效率相对较低。

结论:通过本次实验,我们得出了以下结论:交通灯的设置应根据不同交通流量情况进行合理调整,以保证交通的流畅性和效率。

交通信号灯控制器实验报告

交通信号灯控制器实验报告

交通信号灯控制器实验报告交通信号灯控制器一、设计任务及要求 (2)二、总体方案设计以及系统原理框图 (2)2.1、设计思路 (2)2.2、各模块相应的功能 (2)2.3、系统原理图 (3)三、单元电路设计 (3)3.1、车辆检测电路 (3)3.2、主控电路 (4)3.3、灯控电路 (5)3.4、计时控制电路 (6)3.5 、计时显示电路 (6)3.6、反馈控制电路 (7)3.7、置数电路 (7)3.8、时基电路 (7)四、工作原理 (8)五、电路的软件仿真及结果分析 (8)5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8)5.2、结果分析 (10)六、电路的组装调试 (10)6.1、使用的主要仪器和仪表 (10)6.2、调试电路的方法和技巧 (10)6.3、调试中出现的问题、原因和排除方法 (11)七、收获、存在的问题和进一步的改进意见 (11)7.1、存在的问题和进一步的改进意见 (11)7.2、收获以及心得体会 (12)附录一:电路所用元器件 (14)附录二:电路全图 (15)附录三:实际电路图 (16)、设计任务及要求在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下:1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状态。

2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20 秒,通行交替间隔时为5 秒。

3、通行状态转换依照“主道优先”的原则,即:当主道通行30 秒后,若支道无车则继续通行;当支道通行20 秒后,只有当支道有车且主道无车时才允许继续通行。

(用按键模拟路口是否有车)4、设计计时显示电路,计时方式尽量采用倒计时。

、总体方案设计以及系统原理框图2.1 、设计思路本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim 进行仿真,仿真成功后,再去实验室焊接调试。

交通灯实训实验报告

交通灯实训实验报告

一、实验目的1. 理解交通灯控制系统的工作原理。

2. 掌握使用单片机进行交通灯控制系统的设计与实现。

3. 提高动手实践能力和问题解决能力。

二、实验原理交通灯控制系统通常采用单片机作为核心控制单元,通过编程实现对交通灯的红、黄、绿三种灯光状态的切换。

本实验采用单片机(如STC89C52)作为核心控制单元,利用定时器实现灯光的定时切换,并通过LED灯模拟交通灯的灯光状态。

三、实验器材1. 单片机开发板(如STC89C52开发板)2. LED灯(红、黄、绿各一个)3. 电阻(根据LED灯的规格选择)4. 跳线5. 编程器6. 计算机四、实验步骤1. 硬件连接:- 将红、黄、绿LED灯分别连接到单片机的P1.0、P1.1、P1.2端口。

- 将电阻串联在每个LED灯的两端,防止LED灯过载。

- 将跳线连接到单片机的相关引脚,用于编程和调试。

2. 软件编程:- 使用Keil软件编写单片机程序,实现交通灯的控制逻辑。

- 设置定时器,实现灯光的定时切换。

- 编写主循环程序,根据定时器的值切换LED灯的状态。

3. 程序调试:- 将程序烧录到单片机中。

- 使用示波器或逻辑分析仪观察LED灯的状态,确保程序运行正常。

4. 实验验证:- 将LED灯连接到实际交通灯的位置。

- 启动单片机,观察LED灯的状态是否符合交通灯的控制逻辑。

五、实验结果与分析1. 实验结果:- 红灯亮时,表示禁止通行。

- 绿灯亮时,表示允许通行。

- 黄灯亮时,表示准备切换到红灯。

2. 实验分析:- 通过本次实验,掌握了使用单片机进行交通灯控制系统的设计与实现。

- 了解了定时器在实现灯光切换中的作用。

- 提高了动手实践能力和问题解决能力。

六、实验总结1. 优点:- 实验操作简单,易于上手。

- 理论与实践相结合,提高了学生的动手能力。

2. 不足:- 实验内容较为简单,未能涉及到复杂交通灯控制系统的设计。

- 实验器材较为有限,限制了实验的拓展性。

七、实验拓展1. 研究复杂交通灯控制系统的设计,如多路口交通灯协同控制。

交通灯控制器 EDA课程设计实验报告

交通灯控制器   EDA课程设计实验报告

目录1课程设计要求 (3)2 电路功能描述 (3)3 设计方案 (3)4设计原理图 (4)5 VHDL语言 (4)6仿真截图 (6)7心得体会 (11)8参考文献 (11)1. 课程设计要求1.1.红、黄、绿灯分别控制显示;1.2.每一个状态分别分配一个时间显示(两位十进制,倒计时);1.3.符合实际交通规律。

2.电路功能描述本设计是实现交通灯的控制,模拟实现了红、绿、黄灯指挥交通的功能。

本设计适用东西和南北方向的车流量大致相同的路口,红灯显示时间30S,绿灯显示时间25S,黄灯显示时间5S,同时用数码管指示当前的状态(红、绿、黄灯)的剩余时间。

当有紧急状况发生时,两个方向都禁止通行,并且显示红灯,当紧急状况解除后,重新计时并且指示时间。

3.设计方案根据设计要求,需要控制显示红、黄、绿三个灯的亮灭状态及显示的时间。

这个设计主要由两部分组成,红黄绿灯的显示模块,显示时间模块。

由实际的交通情况可知,东西方向的显示情况是一致的,南北方向的显示情况也是一致,故在设计的时候就只考虑两种状态,将东西方向合成一种,南北方向合成一种。

红黄绿灯的显示模块用两组共6个灯显示,时间显示模块用LED数码管显示。

此外,本交通灯控制器设置的红黄绿显示方式是参照一些城市的显示规律,红灯30S,绿灯25S,黄灯5S,同时用数码管指示当前状(红、绿、黄灯)的剩余时间。

另外还设有一个紧急状态,当特殊情况发生时,两个方向都禁止通行,指示红灯,紧急状态解除后,重新计时并指示时间。

时间采用倒计时的方式显示。

本设计采用VHDL语言编程,描述各个硬件模块实现的功能,使红、黄、绿灯的转换有一个准确的转换顺序和时间间隔,并进行仿真,通过仿真的结果,得出实验的结果。

在正常情况下的一个完整周期内,交通灯控制器系统一共有四种状态,分别是东西红、南北绿,东西红、南北黄,东西绿、南北红,东西黄、南北红。

其运行方式为东西红、南北绿→东西红、南北黄→东西绿、南北红→东西黄、南北绿,东西黄、南北绿结束后再回到东西红、南北绿的状态,整个周期持续60s。

交通灯plc实验报告

交通灯plc实验报告

交通灯plc实验报告交通灯PLC实验报告引言:交通灯是城市道路交通中的重要组成部分,它能够引导车辆和行人的通行,维护交通秩序,减少交通事故的发生。

而在现代交通灯系统中,PLC(可编程逻辑控制器)起到了至关重要的作用。

本实验旨在通过对交通灯PLC的控制和实验,深入了解PLC的工作原理和应用。

一、PLC的概述PLC是一种专门用于工业自动化控制的计算机,它具有可编程、可扩展、可靠性高等特点。

PLC的核心部件是CPU(中央处理器),它能够根据预设的程序和输入信号,控制输出信号的状态。

在交通灯系统中,PLC负责接收来自传感器的输入信号,并根据预设的程序控制交通灯的状态。

二、实验设备和材料本实验所需的设备和材料如下:1. PLC控制器2. 交通灯模型3. 传感器4. 电源线和连接线5. 计算机和编程软件三、实验步骤1. 连接设备:将PLC控制器和交通灯模型通过连接线连接起来,确保电源线的正负极正确连接。

2. 编写程序:使用编程软件编写PLC程序,根据实际需求设置交通灯的控制逻辑。

例如,当检测到车辆通过时,红灯变为绿灯,绿灯变为红灯。

3. 上传程序:将编写好的PLC程序上传到PLC控制器中,确保程序的正确性。

4. 运行实验:开启电源,观察交通灯的状态是否按照预期进行变化。

同时,通过传感器模拟车辆和行人的信号输入,测试交通灯的响应速度和准确性。

5. 数据记录与分析:记录实验过程中的数据,包括交通灯的状态变化、传感器的信号输入等。

根据数据进行分析,评估实验结果的可行性和效果。

四、实验结果与分析通过实验,我们得到了以下结果和分析:1. PLC控制器能够准确地感知传感器的输入信号,并根据预设的程序进行相应的控制。

2. 交通灯的状态变化符合交通规则和实际需求,能够有效引导车辆和行人的通行。

3. 实验中的传感器响应速度较快,能够及时感知到车辆和行人的信号输入,保证交通灯的准确控制。

4. 通过对实验数据的分析,我们可以进一步优化交通灯的控制逻辑,提高交通流量和效率。

交通灯控制器报告(北理)

交通灯控制器报告(北理)

交通灯控制器报告(北理)本科实验报告实验名称:交通灯控制器设计目录一、设计指标 (1)二、设计框图 (1)三、设计过程 (2)3.1系统状态转换图 (2)3.2时钟基准发生电路的设计 (3)3.3传感器电路 (4)3.4预置法时序发生电路设计 (5)3.5预置数电路设计 (5)3.6控制电路设计 (6)3.7交通灯译码电路设计 (7)3.8求反电路和4位二进制码转BCD码电路 (7)3.9显示电路设计 (8)3.10 Vs有效时暂停电路设计(改进部分) (8)四、设计结果 (9)五、实验结果 (10)六、实验中遇到的问题及解决办法 (11)七、实验所需元器件 (11)八、实验心得体会 (12)一、设计指标1)设计一个十字路口交通灯,十字路口有主路和支路,共两组红绿灯;2)主路亮灯顺序为绿灯(16s)→黄灯(4s)→红灯(13s)→黄灯(4s);支路亮灯顺序为绿灯(13s)→黄灯(4s)→红灯(16s)→黄灯(4s);3)主路有一个传感器,当支路无车时,主路亮绿灯;4) 用数码管倒序显示主路的红绿灯显示时间。

二、设计框图绘制设计框图如下,三、设计过程3.1系统状态转换图符号说明:S0:一种状态,表示主路亮绿灯,支路亮红灯;S1:一种状态,表示主路亮黄灯,支路亮黄灯;S2:一种状态,表示主路亮红灯,支路亮绿灯;S3:一种状态,表示主路亮黄灯,支路亮黄灯;:表示主路亮绿灯(支路亮红灯)时间,时间到为1,不到为0;:表示主路(支路)亮黄灯时间,时间到为1,不到为0;:表示支路亮绿灯(主路亮红灯)时间,时间到为1,不到为0;:表示传感器信号,支路有人时为1,没人时为0。

=16s,=4s,=13s得到状态方程如下:可以统一为一个变量于是状态方程变成:由于采用预置法设计电路,所以T,T,T T,l y s3.2时钟基准发生电路的设计经过理论计算,确定R1=19K ,R2=62 K ,C=10F μ。

此T=0.7(R1+2R2)C=1001/1000s,对应频率为f=1000/1001Hz ≈ 1Hz仿真波形如下图所示3.3传感器电路传感器电路由开关代替,开关接R 时正常工作,开关接S 时是表示支路有车,主路一直维持绿灯。

交通灯控制器实验报告

交通灯控制器实验报告

........学院《单片机原理及应用》课程设计报告题目:交通灯控制器班级:学生姓名:学号:指导老师:日期:年月日摘要当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。

设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。

以下就是运用数字电子设计出的交通灯:本设计是十字路口交通灯控制,所以依据实际交通灯的变化情况和规律,给出如下需求:一个十字路口为东西南北走向。

初始状态25s为南北红灯,初始状态20s东西绿灯。

然后转状态1,南北红灯,东西绿灯闪烁3s,黄灯2s。

再转状态2,南北红灯灭,绿灯亮,东西绿灯灭,红灯亮。

再转状态3,东西红灯,南北绿灯闪烁3s,黄灯2s。

再转初始状态。

关键词:信号灯电路交通控制系统EDA技术目录一. 绪论 (4)引言1.1 设计任务 (4)1.1.1设计题目1.1.2设计内容1.2 系统需求 (4)1.2.1基本要求1.2.2发挥部分1.3小组成员及个人完成情况 (4)1.3.1小组成员1.3.2个人完成情况二.方案比较、方案设计与方案论证 (5)2.1电源提供方案2.2显示界面方案三.单元模块设计 (6)3.1单片机及其外接电路3.2 交通灯时间显示模块3.3按键控制模块3.4声音报警系统四.系统调制与分析 (10)五.总结与心得 (14)参考文献 (14)附录一系统原理图 (15)附录二元件清单 (16)附录三系统源程序 (17)一.绪论引言城市修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。

然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。

而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。

交通灯控制系统设计-实验报告

交通灯控制系统设计-实验报告

交通灯控制系统设计-实验报告
实验目的:设计一个交通灯控制系统,实现对交通灯的自动控制。

实验材料:
1. Arduino UNO开发板
2. 红绿黄LED灯各1个
3. 杜邦线若干
实验原理:
交通灯系统的控制主要是通过控制LED灯的亮灭来实现。


色LED灯表示停止,绿色LED灯表示通行,黄色LED灯表
示警示。

通过控制不同LED灯的亮灭状态,可以模拟交通灯
的不同信号。

实验步骤:
1. 将红色LED灯连接到Arduino开发板的数字输出引脚13,
绿色LED灯连接到数字输出引脚12,黄色LED灯连接到数
字输出引脚11。

2. 在Arduino开发环境中编写控制交通灯的程序。

3. 将Arduino开发板与计算机连接,将程序上传到Arduino开
发板中。

4. 接通Arduino开发板的电源,观察交通灯的亮灭状态。

实验结果:
根据程序编写的逻辑,交通灯会按照规定的时间间隔进行变换,实现红绿灯的循环。

实验总结:
通过本次实验,我们设计并实现了一个简单的交通灯控制系统。

掌握了Arduino编程和控制LED灯的方法,加深了对控制系
统的理解。

通过实验,我们发现了交通灯控制系统的重要性和意义,为今后的交通控制提供了一种可行的解决方案。

交通灯控制实验报告

交通灯控制实验报告

一、实验目的1. 理解交通灯控制系统的工作原理和基本组成。

2. 掌握PLC(可编程逻辑控制器)编程和调试方法。

3. 学习交通灯控制系统的硬件连接和电路设计。

4. 提高实际应用中解决复杂问题的能力。

二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其基本原理是通过对交通信号灯进行控制,实现交通流量的有序疏导。

本实验采用PLC作为控制核心,通过编写程序实现对交通灯的定时控制。

三、实验器材1. PLC主机2. 交通灯控制模块3. 电源模块4. 交通灯模型5. 连接线四、实验步骤1. 硬件连接:- 将PLC主机与交通灯控制模块、电源模块和交通灯模型连接。

- 将PLC主机与计算机连接,以便进行程序编写和调试。

2. 程序编写:- 根据交通灯控制要求,编写PLC程序。

- 程序主要包括以下部分:- 启动信号处理:检测启动开关状态,控制交通灯开始工作。

- 定时控制:根据设定的时间,控制交通灯的红、黄、绿灯亮灭。

- 紧急处理:检测紧急处理开关状态,实现交通灯的紧急控制。

3. 程序调试:- 在计算机上运行PLC程序,观察程序运行效果。

- 根据实际情况,对程序进行调试和优化。

4. 实验验证:- 在实际硬件环境中运行程序,观察交通灯控制效果。

- 验证程序是否满足实验要求。

五、实验结果与分析1. 实验结果:- 在实验过程中,成功实现了交通灯的控制,实现了红、黄、绿灯的定时切换。

- 在紧急情况下,能够实现交通灯的紧急控制。

2. 结果分析:- 通过实验,掌握了PLC编程和调试方法,提高了实际应用中解决复杂问题的能力。

- 实验结果表明,所设计的交通灯控制系统具有良好的稳定性和可靠性。

六、实验总结本次实验成功实现了交通灯控制系统的设计与实现,达到了预期目标。

通过实验,我们掌握了以下知识点:1. 交通灯控制系统的工作原理和基本组成。

2. PLC编程和调试方法。

3. 交通灯控制系统的硬件连接和电路设计。

本次实验提高了我们的实际应用能力,为以后从事相关领域工作奠定了基础。

交通灯模拟控制plc实验报告

交通灯模拟控制plc实验报告

交通灯模拟控制plc实验报告交通灯模拟控制PLC实验报告一、实验背景与目的交通灯是城市道路交通管理的重要设施,安全的交通灯控制是保障行人和车辆安全通行的重要保障。

本实验是以PLC控制器为核心设计的交通灯模拟控制实验,旨在通过实验学习PLC的基础知识,了解PLC在交通灯控制中的运用方式,掌握常用的PLC控制方法,进一步提高学生的工程实践能力。

二、实验原理1.交通灯控制方式通常情况下,交通灯主要采用三种控制方式:定时控制、信号协调控制和应急控制。

其中,定时控制是指交通灯按固定的时间间隔进行交替控制,适用于交通量少、时间规律的路段;信号协调控制是基于交通流量实时监测和协调控制交通灯,以提高交通能力和效率,适用于交通量较大、较为复杂的路段,如城市繁忙路口、高速公路入口等;应急控制是指在一定情况下强制交通灯进行跳闸或其他应急控制方法,以保障交通安全和畅通。

2.PLC控制原理PLC,全称可编程逻辑控制器,是一种工业控制计算机,广泛应用于现代制造业、工业自动化等领域。

PLC可通过编写相应的程序来实现对机器人、自动化生产线、传感器等设备的控制,可以有效提高生产效率和控制精度。

在交通灯控制中,PLC主要通过接收来自传感器等外部设备的输入信号和内部程序自动判断下一步操作,并通过控制输出口控制交通灯的开关状态,以实现交通灯的自动控制。

三、实验器材PLC控制器、交通灯模拟器、计算机、连线电缆等。

四、实验步骤本次实验的PLC控制程序采用三段式控制方式,分别为红灯亮、倒计时、绿灯亮,时间周期均为10秒。

具体实验步骤如下:1.将PLC控制器与计算机通过连线电缆连接,使得PLC控制器能够接收计算机传来的控制程序。

2.将模拟交通灯的控制线路连接至PLC控制器的输入口,将交通灯的灯泡接到PLC输出口;3.在计算机上编写PLC控制程序,实现三段式控制方式,并将程序加载至PLC控制器;4.打开PLC控制程序,通过模拟交通灯的测试,验证PLC 控制程序的正确性;5.在实验结束后,关闭实验设备,并将连线电缆拔出。

PLC控制交通灯实验报告

PLC控制交通灯实验报告

广东技术师范学院实验报告学院:专业:班级:成绩:姓名:学号:组别:组员:实验地点:实验日期:指导教师签名:实验(1)项目名称:PLC控制交通灯1.实验项目名称PLC控制交通灯2.实验目的和要求(1)熟悉各种基本指令的应用及交通灯的控制方式(2)通过动手接线,提高实际动手能力以及加强对PLC基本结构的理解(3)加强对PLC逻辑顺序编程的理解,能够熟练运用PLC定时器3.主要仪器设备(1)DICE-PLC可编程序控制器实验箱1台(2)编程电缆1根(3)连接导线若干4.实验内容及步骤(1)控制要求信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始工作,且先南北红灯亮,东西绿灯亮,模拟东西方向车辆行驶的灯亮。

当启动开关断开时,所有信号灯都熄灭。

南北红灯亮维持25秒,东西绿灯亮维持20秒。

到20秒时,东西绿灯闪亮,闪亮3秒后熄灭,模拟东西方向车辆行驶的灯熄灭。

在东西绿灯熄灭时,东西黄灯亮,并维持2秒。

到2秒时,东西黄灯熄灭,东西红灯亮,同时,南北红灯熄灭,绿灯亮,模拟南北方向车辆行驶的灯亮。

东西红灯亮维持25秒,南北绿灯亮维持20秒,然后闪亮3秒后熄灭,模拟南北方向车辆行驶的灯熄灭。

同时南北黄灯亮,维持2秒后熄灭,这时南北红灯亮,东西绿灯亮,周而复始。

交通信号灯的时序图如图1所示:本实验是一个简单时序的顺序控制实验,关键是要将交通灯状态变化的时间点标记出来。

分析时序图,找出交通灯状态发生变化的每个时间点,并使PLC作出相应的动作改变交通灯的状态。

(2)参考实验接线表见图2,可以修改试验模块对应的主机编号。

(2)确定输入、输出端口,连接好导线,并编写程序(3)编译程序,无误后下载至PLC主机的存储器中,并运行程序(4)调试程序,直至符合设计要求5.实验梯形图请画出你的实验接线表和程序梯形图。

交通灯控制器设计实验报告

交通灯控制器设计实验报告

一、实习目的:1. 通过实习让学生掌握开关电源整机电路;2. 能够根据印制电路板画出整机电路图;3. 能够识别检测开关电源的元器件;4. 能够正确拆卸和焊接元器件;5. 会测试主要工作点的阻值、电压和波形;6. 能够根据故障现象判断故障部位;7. 能够进行实际故障维修。

二、实训器材:万用表、开关电源套件、电烙铁、焊锡、吸锡器。

三、实习原理与步骤:1.认识拆卸、检测元器件。

电阻:5.6Ω,270k,5.1k,270Ω,2.7k,10k,15k。

四个色环电阻的识别:第一、二环分别代表两位有效数的阻值;第三环代表倍率;第四环代表误差。

五个色环电阻的识别:第一、二、三环分别代表三位有效数的阻值;第四环代表倍率;第五环代表误差。

然后用万用表将两表笔(不分正负)分别与电阻的两端引脚相接测出实际电阻值进行比对。

为了提高测量精度,应根据被测电阻标称值的大小来选择量程。

电容:100uF/400WV,100uF/50,10uF/350,100uF/160,22uF/50V,57PF,47000PF。

用万用表进行测量,只能定性的检查其是否有漏电,内部短路或击穿现象。

测量时,可选用万用表R×10k挡,用两表笔分别任意接电容的两个引脚,阻值应为无穷大。

若测出阻值(指针向右摆动)为零,则说明电容漏电损坏或内部击穿。

二极管:1N4007,RG2,FR107。

测试前先把万用表的转换开关拨到欧姆档的RX1K档位(注意不要使用RX1档,以免电流过大烧坏二极管),再将红、黑两根表笔短路,进行欧姆调零。

①正向特性测试,把万用表的黑表笔(表内正极)搭触二极管的正极,红表笔(表内负极)搭触二极管的负极。

若表针不摆到0值而是停在标度盘的中间,这时的阻值就是二极管的正向电阻,一般正向电阻越小越好。

若正向电阻为0值,说明管芯短路损坏,若正向电阻接近无穷大值,说明管芯断路。

短路和断路的管子都不能使用。

②反向特性测试,把万且表的红表笔搭触二极管的正极,黑表笔搭触二极管的负极,若表针指在无穷大值或接近无穷大值,管子就是合格的。

VHDL交通灯控制器-实验报告

VHDL交通灯控制器-实验报告

可编程逻辑器件应用项目报告书项目名称:交通灯控制器指导老师:姓名:学号:班级:(以后写报告要包含以下一些内容:)一、设计要求--------------------------------------------------------------------------------二、设计目的--------------------------------------------------------------------------------三、设计方案--------------------------------------------------------------------------------四、设计程序---------------------------------------------------------------------------------五、管脚分配---------------------------------------------------------------------------------六、硬件下载实现现象描述------------------------------------------七、体会、对设计工作的总结与展-------------------------------------------一、设计要求:①在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一方向(东西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。

②设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是20s、5s和25s。

③当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。

当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。

实验二报告--交通灯控制器

实验二报告--交通灯控制器

实验二交通灯控制器一、实验目的设计一个基于EDA的十字路口交通控制器,假设南北方向和东西方向,两个方向分别设置红灯、绿灯、黄灯,每个方向设置一组倒计时显示器,用以指挥车辆和行人有序的通行。

红灯亮表示直行车辆禁行;绿灯亮表示直行车辆可以通行;黄灯亮表示直行车辆即将禁行。

二、实验任务及要求1、能显示十字路口东西、南北两个方向的红、黄、绿的指示状态用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。

变化规律为:东西绿灯,南北红灯->东西黄灯,南北红灯->东西红灯,南北绿灯->东西红灯,南北黄灯->东西绿灯,南北红灯……依次循环。

2、能实现正常的倒计时功能用两组数码管作为东西和南北方向的允许或通行时间的倒计时显示,显示时间为红灯45秒、绿灯40秒、黄灯5秒。

图13、能实现紧急状态处理的功能(1)出现紧急状态(例如消防车,警车执行特殊任务时要优先通行)时,两路上所有车禁止通行,红灯全亮;(2)显示到计时的两组数码管闪烁;(3)计数器停止计数并保持在原来的状态;4、能实现系统复位功能系统复位后,东西绿灯,南北红灯,东西计时器显示40秒,南北显示45秒。

5、用VHDL语言设计符合上述功能要求的交通灯控制器,并用层次化设计方法设计该电路。

6、个模块的功能用功能仿真的方法验证,可通过有关波形确认电路设计是否正确。

7、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。

三、实验原理图1、交通灯状态转换图2、交通灯控制器框图图3 交通灯控制器框图3、交通灯控制的算法流程图图4 交通灯控制的算法流程图四、实验报告要求1、画出顶层原理图。

2、对照交通灯电路框图分析电路工作原理。

3、写出各功能模块的VHDL语言源文件。

4、叙述各模块的工作原理。

5、详述控制器部分的工作原理,绘出详细电路图,写出VHDL语言源文件,画出有关状态机变化。

6、书写实验报告时应结构合理,层次分明,在分析时注意语言的流畅。

交通灯控制器实习报告

交通灯控制器实习报告

实习报告一、实习内容本次实习的主要内容是交通灯控制器的制作与调试。

实习过程中,我们学习了交通灯控制系统的原理,了解了交通灯控制器的设计方法,并亲自动手制作和调试了交通灯控制器。

二、实习过程在实习的第一阶段,我们首先学习了交通灯控制系统的原理。

交通灯控制系统主要由控制器、定时器、译码器和信号灯组成。

控制器负责控制整个系统的运行,定时器用于控制信号灯的亮灭时间,译码器负责将控制器的输出信号转换为信号灯的控制信号,信号灯则根据控制信号的变化显示不同的颜色。

在实习的第二阶段,我们学习了交通灯控制器的设计方法。

我们以一个简单的交通灯控制系统为例,设计了控制器的电路图,并选择了合适的集成电路和元器件。

在设计过程中,我们学习了如何根据系统的功能需求和性能要求,选择合适的集成电路和元器件,并绘制出电路图。

在实习的第三阶段,我们亲自动手制作和调试了交通灯控制器。

我们按照设计好的电路图,用集成电路和元器件组装成了交通灯控制器,并进行了调试。

在调试过程中,我们通过改变定时器的设置,实现了信号灯的亮灭时间和黄灯的闪烁时间的控制。

三、实习收获通过本次实习,我深入了解了交通灯控制系统的原理和工作过程,学会了交通灯控制器的设计方法,提高了动手能力和实际操作技能。

同时,我也认识到了交通灯控制器在实际应用中的重要性,对城市交通管理有了更深刻的认识。

四、实习反思在实习过程中,我发现自己在交通灯控制器的设计和调试方面还存在一些问题。

例如,我在设计控制器电路图时,没有充分考虑到系统的稳定性和可靠性,导致在实际操作中出现了一些问题。

此外,我在调试过程中,也没有及时发现问题所在,导致调试时间较长。

针对这些问题,我认为我在今后的学习和工作中,需要加强对理论知识的学习,提高自己的动手能力,同时也要注重实际操作中的问题排查,提高工作效率。

总的来说,本次实习是一次非常有意义的经历,我从中受益匪浅。

我将把在实习中学到的知识和技能,应用到今后的学习和工作中,为我国的交通管理事业做出自己的贡献。

交通灯控制器 EDA实验报告

交通灯控制器 EDA实验报告

一、实验目的1、研究交通灯控制器的工作原理,进行功能设计;2、加深VHDL语言的理解;3、熟练掌握自上而下的分层设计方法;4、熟练掌握EDA软件QUARTUSII的开发流程。

二、实验仪器PC机一台;QUARTUSII 13.0软件;新型设备实验板Altera Blaster下载器三、题目解析1.东西,南北两个方向有红、黄、绿灯指示是否允许通行2. 设置时钟,以倒计时方式显示允许通行的时间3. 绿灯、黄灯、红灯的持续时间分别设置为20秒、10秒和30秒(时间也开自行设置)4. 当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,交通控制系统可由交警手动控制进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时。

特殊运行状态结束后,管理系统恢复状态继续正常运行四、实验原理五、模块设计以及总电路图1.简要说明由于两个交通灯的倒计时数字的显示是相同的,所以我们这次只使用了两个七段数码管来显示两个交通灯的时间。

我们把60s一个大循环分成了如图所示四个状态s0~s3,且还有一个s4为紧急状态。

当s4启动时,时钟暂停计时,交通灯均亮红灯。

有一个en为紧急状态按钮,rst为复位键,倒计时回到30s,转固态回到s0。

2.分频器模块本次课程使用的实验板默认50MHz晶振。

i_clk为输入信号,用于晶振信号输入;i_rst为复位信号;o_clk为分频信号,输出1Hz;3.LED灯控制模块通过给定的时钟输入判断当前状态下的LED灯点亮情况。

l_clk时钟信号输入l_rst复位l_en为使能端,用于紧急状况4.数码管显示模块通过给定的时钟输入判断当前时间的数字显示c_clk时钟信号输入c_rst复位c_en紧急out_h高位输出用于控制十位out_l地位输出用于控制个位5.数码管将上一部分输出信号进行译码显示6.总电路图根据题意,将各个模块根据原理连接起来,形成如图电路。

六、各个模块代码1.分频器LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;entity FREQUENCY_DIVIDER isgeneric(sys_clk_fre:INTEGER:=50000000;//输入频率div_clk_fre:INTEGER:=1//目标频率);PORT(i_clk : IN STD_LOGIC;i_rst : IN STD_LOGIC;o_clk : OUT STD_LOGIC);END FREQUENCY_DIVIDER;ARCHITECTURE FREQUENCY_DIVIDER_architecture OF FREQUENCY_DIVIDER ISsignal r_div_count:STD_LOGIC_VECTOR(31 downto 0);signal r_div_CLK:STD_LOGIC;BEGINprocess(i_clk,i_rst)beginif(i_rst='1')then //复位r_div_count<=x"00000000";r_div_clk <= '0';elsif(i_clk'event and i_clk='1')thenif(r_div_count=sys_clk_fre/div_clk_fre/2-1)thenr_div_count <= x"00000000";r_div_clk <= NOT r_div_clk;elser_div_count <= r_div_count+1;end if;end if;end process;o_clk <= r_div_clk;END FREQUENCY_DIVIDER_architecture;2.LED控制LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY light_control ISPORT(l_clk : IN STD_LOGIC;l_rst : IN STD_LOGIC;l_en : IN STD_LOGIC;red1 : OUT STD_LOGIC;red2 : OUT STD_LOGIC;ye1 : OUT STD_LOGIC;ye2 : OUT STD_LOGIC;green1 : OUT STD_LOGIC;green2: OUT STD_LOGIC);END light_control;ARCHITECTURE light_control_architecture OF light_control IS type state_type is(s0,s1,s2,s3,s4);signal current_state,next_state:state_type;signal counter:std_logic_vector(5 downto 0);BEGINsynch:process(counter)//60s循环beginif l_rst='1'thencounter<="000000";elsif l_clk'event and l_clk='1'thenif l_en='1' thencounter<=counter;elseif counter<59 thencounter<=counter+1;elsecounter<="000000";end if;end if;end if;end process;process(l_rst,l_clk)//状态转换beginif l_rst='1'thencurrent_state<=s0;elsif l_clk'event and l_clk='1'thencurrent_state<=next_state;end if;end process;state_trans:process(current_state)begincase current_state iswhen s0=>if l_en='1' thennext_state<=s4;elseif counter<26 thennext_state<=s0;elsenext_state<=s1;end if;end if;when s1=>if l_en='1' thennext_state<=s4;elseif counter<29 thennext_state<=s1;elsenext_state<=s2;end if;end if;when s2=>if l_en='1' thennext_state<=s4;elseif counter<56 thennext_state<=s2;elsenext_state<=s3;end if;end if;when s3=>if l_en='1' thennext_state<=s4;elseif counter<59 thennext_state<=s3;elsenext_state<=s0;end if;end if;when s4=>if l_en='1' thennext_state<=s4;elseif counter<19 thennext_state<=s0;elsif counter<29 thennext_state<=s1;elsif counter<49 thennext_state<=s2;elsif counter<59 thennext_state<=s3;elsenext_state<=s0;end if;end if;end case;end process;output:process(current_state)//状态输出begincase current_state iswhen s0=>red1<='0';green1<='1';ye1<='0';red2<='1';green2<='0';ye2<='0';when s1=>red1<='0';green1<='0';ye1<='1';red2<='1';green2<='0';ye2<='0';when s2=>red1<='1';green1<='0';ye1<='0';red2<='0';green2<='1';ye2<='0';when s3=>red1<='1';green1<='0';ye1<='0';red2<='0';green2<='0';ye2<='1';when s4=>red1<='1';green1<='0';ye1<='0';red2<='1';green2<='0';ye2<='0';end case;end process;END light_control_architecture;3.计时器LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY counter IS-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!PORT(c_clk : IN STD_LOGIC;c_rst : IN STD_LOGIC;c_en : IN STD_LOGIC;out_h : OUT STD_LOGIC_VECTOR(3 downto 0);out_l : OUT STD_LOGIC_VECTOR(3 downto 0));-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!END counter;-- Architecture BodyARCHITECTURE counter_architecture OF counter ISsignal coul,couh:std_logic_vector(3 downto 0);beginprocess(c_rst,c_clk,c_en)beginif c_rst='1' thencoul<="0000";couh<="0011";//这里是如果启用了复位键,就会从的第一个小循环30s开始倒计时,所以高位是0011也就是3,低位从0开始倒计时,即30→29…elsif c_clk'event and c_clk = '1' thenif c_en='1'thencouh<=couh;coul<=coul;elseif c_rst='0' thenif(coul=1 and couh=0)thencouh<="0011";coul<="0000";elsif coul=0 thencoul<="1001";couh<=couh-1;elsecoul<=coul-1;//这里是每减少10s高位会减1,如20→19高位从2到1,低位置9end if;end if;end if;end if;end process;out_h<=couh;out_l<=coul;END counter_architecture;4译码显示LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;-- Entity DeclarationENTITY digits_h IS-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!PORT(d_h : IN STD_LOGIC_VECTOR(3 downto 0);a : OUT STD_LOGIC;b : OUT STD_LOGIC;c : OUT STD_LOGIC;d : OUT STD_LOGIC;e : OUT STD_LOGIC;f : OUT STD_LOGIC;g : OUT STD_LOGIC);-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!END digits_h;ARCHITECTURE digits_h_architecture OF digits_h IS signal tmp:std_lOGIC_VECTOR(6 DOWNTO 0);beginprocess (d_h)begincase d_h iswhen"0000"=>tmp<="0000001";when"0001"=>tmp<="1001111";when"0010"=>tmp<="0010010";when"0011"=>tmp<="0000110";when"0100"=>tmp<="1001100";when"0101"=>tmp<="0100100";when"0110"=>tmp<="0100000";when"0111"=>tmp<="0001111";when"1000"=>tmp<="0000000";when"1001"=>tmp<="0000100";when others=>tmp<="1111111";end case;end process;a<=tmp(6);b<=tmp(5);c<=tmp(4);d<=tmp(3);e<=tmp(2);f<=tmp(1);g<=tmp(0);END digits_h_architecture;七、实验验证验证通过!八、心得体会通过本次数字电路与逻辑实验课程的学习以及大作业的完成,我们学习了EDA相关的编程语言基础语法与软件的基本使用,掌握了一定的基础能力,了解电子设计自动化这片领域的重要性以及其发展,实践了从设计到实现的全部过程,培养了对eda设计的兴趣,对本科阶段实操方面的能力很有帮助。

交通灯plc实验报告

交通灯plc实验报告

交通灯plc实验报告交通灯PLC实验报告摘要:本实验旨在利用PLC(可编程逻辑控制器)技术,设计并实现一个交通灯控制系统。

通过该实验,我们掌握了PLC的基本原理和应用,同时也深入了解了交通灯控制系统的工作原理。

一、实验目的1. 了解PLC的基本原理和应用;2. 掌握交通灯控制系统的工作原理;3. 设计并实现一个基于PLC的交通灯控制系统。

二、实验原理1. PLC的基本原理PLC是一种专门用于工业控制的计算机控制系统,它能够根据预先编写的程序自动完成各种控制任务。

PLC系统通常由输入模块、输出模块、中央处理器和编程设备组成。

2. 交通灯控制系统的工作原理交通灯控制系统通常由红灯、黄灯和绿灯三种状态组成,根据不同的交通情况切换不同的状态,以确保交通的顺畅和安全。

三、实验设备1. PLC控制器;2. 交通灯模拟器;3. 编程软件。

四、实验步骤1. 连接PLC控制器和交通灯模拟器;2. 编写PLC程序,实现交通灯的红、黄、绿灯状态切换;3. 上传程序到PLC控制器;4. 测试交通灯控制系统的运行情况;5. 分析实验结果。

五、实验结果经过实验,我们成功地设计并实现了一个基于PLC的交通灯控制系统。

在不同的交通情况下,交通灯能够准确地切换红、黄、绿灯状态,确保交通的顺畅和安全。

六、实验总结通过本次实验,我们深入了解了PLC的基本原理和应用,同时也掌握了交通灯控制系统的工作原理。

PLC技术在工业控制领域有着广泛的应用,通过本次实验的学习,我们对其应用有了更深入的理解和掌握。

在今后的学习和工作中,我们将进一步加强对PLC技术的学习和实践,为工业控制领域的发展做出更多的贡献。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

........学院《单片机原理及应用》课程设计报告题目:交通灯控制器班级:学生姓名:学号:指导老师:日期:年月日摘要当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。

设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。

以下就是运用数字电子设计出的交通灯:本设计是十字路口交通灯控制,所以依据实际交通灯的变化情况和规律,给出如下需求:一个十字路口为东西南北走向。

初始状态25s为南北红灯,初始状态20s东西绿灯。

然后转状态1,南北红灯,东西绿灯闪烁3s,黄灯2s。

再转状态2,南北红灯灭,绿灯亮,东西绿灯灭,红灯亮。

再转状态3,东西红灯,南北绿灯闪烁3s,黄灯2s。

再转初始状态。

关键词:信号灯电路交通控制系统EDA技术目录一. 绪论 (4)引言1.1 设计任务 (4)1.1.1设计题目1.1.2设计内容1.2 系统需求 (4)1.2.1基本要求1.2.2发挥部分1.3小组成员及个人完成情况 (4)1.3.1小组成员1.3.2个人完成情况二.方案比较、方案设计与方案论证 (5)2.1电源提供方案2.2显示界面方案三.单元模块设计 (6)3.1单片机及其外接电路3.2 交通灯时间显示模块3.3按键控制模块3.4声音报警系统四.系统调制与分析 (10)五.总结与心得 (14)参考文献 (14)附录一系统原理图 (15)附录二元件清单 (16)附录三系统源程序 (17)一.绪论引言城市修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。

然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。

而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。

人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。

城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统最重要的组成部分。

伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。

随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了。

为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。

以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。

1.1设计任务1.1.1设计题目:交通灯控制器1.1.2 设计内容:本设计是十字路口交通灯控制,所以依据实际交通灯的变化情况和规律,给出如下需求:一个十字路口为东西南北走向。

初始状态25s为南北红灯,初始状态20s东西绿灯。

然后转状态1,南北红灯,东西绿灯闪烁3s,黄灯2s。

再转状态2,南北红灯灭,绿灯亮,东西绿灯灭,红灯亮。

再转状态3,东西红灯,南北绿灯闪烁3s,黄灯2s。

再转初始状态。

1.2系统需求1.2.1基本要求:利用STC-89C52单片机作为系统核心控制部分,用外围12个发光二极管(红、绿、蓝各4组)模拟交通灯的显示部分,自己设计电路和程序完成交通灯控制设计。

1.2.2发挥部分:1.用三极管9015对8个数码管进行控制。

2.用for循环完成交通灯转换间的延时,延时误差小于20×10-6s.3. 利用数码管进行东西、南北方向的倒计时显示1.3小组成员及个人完成情况1.3.1小组成员1.3.2个人完成部分部分程序调试,部分实物焊接,制作设计报告书二.方案比较、方案设计与方案论证2.1电源提供方案为使模块稳定工作,须有可靠电源。

我们考虑了两种电源方案:方案一:采点用独立的稳压电源,此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是会使系统复杂,且可能影响电路点平。

方案二:采用单片机控制模块提供电源。

该方案的优点是系统简单扼要节约成本;缺点输出功率不高。

综上所述我们选择第一种方案。

2.2显示界面方案方案一:完全采用数码管显示。

这种方案显示符号和数码字符,系统简单成本低;缺点,功能单一。

方案二:完全采用点阵式LED显示。

这种方案实现复杂,且需要完成大量的软件工作;但功能强大,可方便显示各种英文字符、汉字、图形等。

综上所述我们选择第一种方案。

三.单元模块设计3.1单片机及其外接电路单片微型计算机是随着微型计算机的发展而产生和发展的。

自从1975 年美国德克萨斯仪器公司的第一台单片微型计算机(简称单片机)TMS-1000 问世以来,迄今为止,单片机技术已成为计算机技术的一个独特分支,单片机的应用领域也越来越广泛,特别是在工业控制中经常遇到对某些物理量进行定时采样与控制的问题,在仪器仪表智能化中也扮演着极其重要的角色8k字节Flash,512字节RAM,32 位I/O 口线,定时器,内置4KB EEPROM,MAX810复位电路,3个16 位定时器/计数器,4个外部中断,一个7向量4级中断结构(兼容传统51的5向量2级中断结构),全双工串行口。

另外STC89C52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。

空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。

掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。

最高运作频率35MHz,6T/12T可选。

它主要由两部分构成,一个是主机控制器,另一个是单片机工作最小系统。

图3.1 STC89C52 管脚图68图3.2stc89c52及其外接电路结构图3.2 交通灯时间显示模块由4个两位一体的数码管(实验室没有,由四位一体代替),和12个红绿黄灯组成十字路口红绿灯的功能显示图3.3显示模块3.3按键控制模块遇到紧急情况,首先P32口接的开关闭合,4个交通灯全部变成红灯并报警(报警器系统),等处理时闭合P33口的开关,报警器关闭。

再闭合P36口的开关东西方向的红灯变成绿灯,闭合P36口开关南北方向的红灯变成绿灯。

注:P33口优先级高于P32口。

图3.4 按键控制模块3.4声音报警模块声音报警模块主要用于紧急情况的报警模式,由3.3的P32口决定开启.主要部件由报警器及其外接电路图3.5 声音报警模块四.系统调制与分析系统硬件组成部分如图4.1所示图4.1 系统实物图按一下电源开关按钮,系统上电后,系统开始正常工作,初始状态下交通灯状态如图4.2 4.3所示图4.2交通灯正常工作图4.2交通灯正常工作(黄灯时)紧急情况下,按P23接口的开关,红灯闪烁,报警器响,图4.4所示:图4.4交通灯紧急情况再按P24端口的开关,报警器停止响,同图4.4再按P2.8端口的开关,南北方向的红灯变绿灯,如图4.5所示:图4.5 交通灯紧急情况后,南北方向灯变绿灯再按P2.9端口的开关,东西方向的红灯变绿灯,如图4.6所示:图4.6交通灯紧急情况后,东西方向灯变绿灯夜间模式时黄灯闪烁,如图4.7所示图4.7 夜间模式黄灯闪烁五.总结与心得通过一个星期的辛勤努力,在指导老师的指导和同学们的帮助下,我终于将此次的作品交通灯控制器制作完成了。

让我感受到了功夫不负有心人这句至理名言的真正涵义,这次设计制作不仅巩固了所学的专业知识,而且还增强了自己的动手能力,在制作的过程中学到了很多有用的东西。

本次设计,我用自己所学的专业知识结合同学意见完成了实物的制作,实物也有了比较满意的功能。

在这次设计中我用到了很多模块,包括各种传感器模块、显示模块、CPU模块、按键控制模块、声音报警模块等等。

通过对这些模块的深入了解,我突然有个感悟:什么东西都不难理解,只要你肯花心思去学,肯花时间去专研,收获肯定会有的。

本次设计遇到的难点是在调试部分,在焊接完毕后以及烧完程序后,接上电源,发现数码管没亮,但是在手动按键的时候LED灯会亮,同时蜂鸣器会响。

其它全部功能正常,唯独数码管就是不亮,本因为是数码管的管脚接触不良,用力按下能看到一些管段微弱的亮了一下。

后来发现数码管是亮着的,用双手挡住光才能看到数码管亮,原来是电压不够,数码管显示很暗。

然后加了个9015三极管后,数码管就亮了,但又变成了乱码……经过深刻的研究分析发现,接了三极管驱动后,因为静态工作点的配置问题,共阳数码管由高电平驱动变成低电平驱动,又经过了好多调试,终于成功显示。

通过这次的课程设计作品的制作让我对单片机的理论有了更加深入的了解,同时在具体的制作过程中我们发现现在书本上的知识与实际的应用存在着不小的差距,书本上的知识很多都是理想化后的结论,忽略了很多实际的因素,或者涉及的不全面,可在实际的应用时这些是不能被忽略的,我们不得不考虑这方的问题,这让我们无法根据书上的理论就轻易得到预想中的结果,有时结果甚至很差别很大。

通过这次实践使我更深刻的体会到了理论联系实际的重要性,我们在今后的学习工作中会更加的注重实际,避免称为只会纸上谈兵的赵括。

而且在这次的课程设计中,我也明白了自己对软件方面比较感兴趣,也明白了自己以后要往哪方面走。

同时,这次设计也发现了自己的一些不足之处,就如在软件设计方面,自己还需要多查看阅读一些数据结构的书籍,多了解一些设计的算法和编程思想才行。

这次的课程总的来说还是完善的,当然有些功能也还是需要完善的,比如交通灯的夜间模式,即在夜间时让所有的黄灯亮。

参考文献[1] 杨居义,《单片机原理及应用项目教程》,清华大学出版社,2007年[2] 胡汉才,《单片机原理与接口技术》,清华大学大学出版社,2004年[3] 王幸之,《单片机应用系统抗干扰技术》,北京航空航天大学出版社,2001年[4] 王为青、程国钢,《单片机Keil C×51应用开发技术》.,人民邮电出版社,2007年[5] 李哲英,《电子技术及其应用基础》,高等教育出版社,2003年[6] 刘菊荣、库锡树主编,《电子技术实验教程》,电子工业出版社,2013年[8] 谢自美,《电子线路设计·实验·测试》,武汉:华中科技大学出版社,2000年[9] 李广弟. 单片机原理及应用[M] 北京航空航天大学出版社,2004年[10] 朱思荣.51单片机实现公历与农历、星期的转换[Z].当当电子网[11] 曹巧媛.单片机原理及应用.北京:电子工业出版社,2002.附录一系统原理图附录二元件清单附录三系统源程序#include <at89x51.h>#define uchar unsigned char#define uint unsigned intuchar h;uint s;uchar code dis[]={0Xc0,/*0*/0Xf9,/*1*/0Xa4,/*2*/0Xb0,/*3*/0X99,/*4*/0X92,/*5*/0X82,/*6*/0Xf8,/*7*/0X80,/*8*/0X90,/*9*/0Xff,/*NULL*/}; //共阳数码管显示void delay(uint c){ /*延迟c毫秒*/uint i,j;for(i=0;i<c;i++)for(j=0;j<125;j++);}void main(void){PX1=1; //外部中断1为高优先级EA=1; //CPU开放中断EX0=1; //外部中断0允许EX1=1; //外部中断1允许while(1){P0=0xf3;/*南北红灯亮,东西绿灯亮20s*/ //P2.4,P2.3低电平亮灯for(h=20;h>0;h--){ //20S倒计时for(s=250;s>0;s--){ //延时速度P1=0xf0; /*关闭数码管*/P2=0xff;P1|= 0xf1; /*开启P1.0*/P2=dis[h/10];/*显示十位*/delay(1);P1=0xf0; /*关闭数码管*/P2=0xff;P1 |=0x02; /*开启P1.1*/P2=dis[h%10];/*显示个位*/delay(1);P1=0xf0;P2=0xff;P1 |=0x04; /*开启P1.2*/P2=dis[(h+5)/10]; /*显示十位*/delay(1);P1=0xf0;P2=0xff;P1|=0x08; /*开启P1.3*/P2=dis[(h+5)%10]; /*显示个位*/delay(1);}}for(h=5;h>2;h--){ //数码管显示5-4-3S倒计时P0=0xf3; /*南北红灯亮3S,东西绿灯闪烁3S*/ // P0.2 P0.3亮delay(200);P0=0xf7; //P0.3亮delay(100);// P1=0xf0;// P2=0xff;P1=0xfa; //P0.0 P0.2东北方向选中P2=dis[h%10];delay(200);P0=0xf3; /*重复1遍上面语句*/ // P0.2 P0.3亮delay(200);P0=0xf7; //P0.3亮delay(100);// P1=0xf0;// P2=0xff;P1=0xfa;P2=dis[h%10];delay(200);}for(h=2;h>0;h--){ //2-1S倒计时P0=0x35; /*南北红灯亮2S,东西黄灯亮2S*/delay(100);P2=0xff;P1=0xfa;P2=dis[h%10];delay(900);}P0=0x1e; /*东西红灯亮,南北绿灯亮25s*/for(h=25;h>0;h--){for(s=250;s>0;s--){P1=0xf0;P2=0xff;P1|=0x04;P2=dis[h/10];delay(1);P1=0xf0;P2=0xff;P1|=0x08;P2=dis[h%10];delay(1);P1=0xf0;P2=0xff;P1|=0x01;P2=dis[(h+5)/10];delay(1);P1=0xf0;P2=0xff;P1|=0x02;P2=dis[(h+5)%10];delay(1);}}for(h=5;h>2;h--){P0=0xde;/*东西红灯亮3s,南北绿灯闪3s*/delay(200);P0=0xfe;delay(100);P1=0xf0;P2=0xff;P1=0xfa;P2=dis[h%10];delay(200);P0=0xde; /*重复1遍上面语句*/delay(200);P0=0xfe;delay(100);P1=0xf0;P2=0xff;P1=0xfa;P2=dis[h%10];delay(200);}for(h=2;h>0;h--){P0=0x2e;/*东西红灯亮2s,南北黄灯亮2s*/delay(100);P2=0xff;P1=0xfa;P2=dis[h%10];delay(900);}}}int0_srv( ) interrupt 0 using 1{ //P3.2外部中断0 char a,b,c;uint i;for(i = 0; i <1000; i++); //控制红绿黄等if(INT0 == 0){b = P1;c = P0;P1 = 0xf0; //数码管位选段都为高电平P2 = 0xff; //数码管全灭P0 = 0xf6; //P0.0 P0.3 低电平红灯亮P1_4 = 0;while(INT0 == 0);P2 = a;东莞理工学院城市学院课程设计P1 = b;P0 = c;P1_4 = 1;}}int1_srv( ) interrupt 2 using 2 //P3.3外部中断1{char x,y,z;uint i;for(i = 0; i <1000; i++); //控制红绿黄灯if(INT1 == 0){x= P2; y = P1; z = P0;P1 = 0xf0; //数码管位选段都为高电平P2 = 0xff;P0 = 0xf6;while(1){if(P3_6 == 0)P0 = 0xf3; /* 东西绿灯,南北红灯*/ //P0.3 P0.2低电平红绿灯亮else if(P3_7 == 0)P0 = 0xde; /* 南北绿灯,东西红灯*/ //P0.5 P0.0低电平红绿灯亮else(P0 = 0xf6); //其它情况都为红灯if(INT1 ==1) break;}P2 = x;P1 = y;P0 = z;}}21。

相关文档
最新文档