编码器及其应用

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验四编码器及其应用

一、实验目的

1、加深理解编码器的逻辑功能。

2、掌握EWB中的数字集成电路的使用方法。

3、练习虚拟数字仪器的使用。

4、练习EWB中的指示元件的使用。

二、实验内容

1、 8线—3线二进制编码器功能测试

(1) 表4.6.3是8线—3线二进制编码器的真值表,根据此真值表写出各输出逻辑函数的表达式,在EWB的电路设计区创建用“或门”实现的逻辑图。

表4.6.3 8线—3线二进制编码器真值表

(2) 从仪器库中选择字信号发生器,将图标下沿的输出端口连接到电路的输入端,打开面板,按照真值表中输入的要求,编辑字信号并进行其它参数的设置。

(3)从仪器库中选择逻辑分析仪,将图标左边的输入端口连接到电路的输出端,打开面板,进行必要合理的设置。

(4)从指示元件库中选择彩色指示灯,接至电路输出端。

(5)单击字信号发生器“Step”(单步)输出方式,记录彩色指示灯的状态(亮代表“1”,暗代表“0”)。记录逻辑分析仪所示波形与真值表比较。

2、集成编码器74LS147的功能测试及应用

(1)输入端0---7分别加低电平以及均为低电平或高电平时,观察并记录输出端A、B、C、D的逻辑状态,功能表格自拟。

(2)74147优先编码器的应用

74147优先编码器、74LS248显示译码及七段字型显示器组成的优先编码器译码器实验电路如下图所示。当输入端1—9分别为低电平以及均为低电平或高电平时,观察显示器的数字。

三、实验报告

1、整理8线—3线二进制编码器的测试结果,说明电路的功能。

2、画出用74LS148构成的呼叫系统的电路图,说明设计原理。

3、回答思考题。

四、思考题

1、 74LS148优先编码器的优先权是如何设置的,结合真值表分析其逻辑关系。

2、译码数码管的管脚有四个,74SL148的输出代码仅有三位,多余的管脚

应如何处理?为什么?

相关文档
最新文档