《EDA技术与应用》A卷及答案
西华大学EDA课程试卷+参考答案( A卷)1
西华大学课程考试参考答案( A卷)课程代码:8400070试卷总分: 100 分一、填空题参考答案及评分标准:(本大题共6小题10空,每空3分,总计30分)评分标准:填对一空得3分,不填或填错得0分。
参考答案:1. EDA的中文全称为电子设计自动化,FPGA的中文全称为现场可程序门阵列。
2. 一个大型的组合电路总延时为120ns,采用流水线将它分三个较小的组合电路,理论最高工作频率可达25MHz。
3. 实现一个大量数据处理、存储的电路,应选ACEX1K(填ACEX1K或MAX700)器件。
4.在以下的表达式或语句中选出正确的并将其番号填在空格处。
①4’b1110^4’b1101=4’h1111; ②8’b00101000=8’h28;③reg b; assign b=a; ④reg [1:0]c ; always@(posedge clk) c[1:0]=a[1:0];⑤{3{3’b110}}=6’B101010; ⑥4’b10ZX =4’b10Zx;正确答案②,④,⑥。
5.时序仿真和功能仿真中,没有包含器件时延参数的是功能仿真。
6、FPGA/CPLD设计流程中设计输入主要有:、HDL语言、原理图、波形图。
1、电子设计自动化、现场可程序门阵列2、25MHz3、ACEX1K4、②、④、⑥5、功能仿真6、HDL语言、原理图(只要意思正确即可)注:如有错别字,但不影响该空正确答案的判断只扣1分。
二、判断题参考答案及评分标准:(本大题共10小题,每小题3分,总计30分)评分标准:判断正确一道得3分,不判断或判断错得0分。
参考答案:1、MAXII和MAX7000器件都有LUT。
------------------------------------------------(V )2、Verilog HDL硬件描述方式中有行为描述方式。
---------------------------------(V )3、一个电路设计中使用了一个时钟,它最好锁到全局时钟管脚上。
19[331190] EDA技术与应用 考试试卷-A卷
output Q;
input CLK,D,RST;
reg Q;
always @(D or CLK or RST)
if( RST ) Q <= 0;
else if( CLK ) Q <= D;
endmodule
1、建立Verilog描述语言程序文件扩展名
10、EDA技术中,基于Verilog描述代码的项目设计测试过程步骤是(写出至少4个步骤):
得分
阅卷人
二、分析题(每题20分,共40分)
1、阅读以下Verilog代码,回答问题。
module mux21a(a,b,s,y);
input a,b,s;
output y;
assigny=s?a:b;
endmodule
2、该Verilog描述语言的模块名所在的项目文件名称是
3、如果要定义3位宽度的变量的输出变量,使用的关键词应该是
4、变量Q是类型是
5、哪些变量是输入变量:
6、语句reg Q;的含义是
7、语句if( RST ) Q <= 0;的含义是
8、如果要定义线网型变量Q,则定义语句是
9、endmodule的含义是
input[2:0] a; output[7:0] y; reg[7:0] y;
always@(a)
begin
if( a = =3’b000 ) y <= 8’b11111110;
得分
阅卷人
三、综合题(每题20分,共40分)
1、一个按键,每按下一次按键,计数器加1,计数器的值在0到23之间循环。请设计Verilog代码。
(1)这段代码实现什么功能?
EDA考试题目及答案
EDA考试题目及答案一、单项选择题(每题2分,共10题)1. EDA技术中,用于描述数字电路的硬件描述语言是:A. VHDLB. VerilogC. C语言D. Python答案:A2. 在VHDL中,用于定义信号的关键字是:A. variableB. constantC. signalD. type答案:C3. 下列哪个不是Verilog中的测试平台(testbench)组件?A. initial块B. always块C. moduleD. function答案:D4. 在EDA设计中,用于模拟电路行为的软件工具是:A. 仿真器B. 编译器C. 综合器D. 布局器答案:A5. 以下哪个选项不是EDA工具的主要功能?A. 电路设计B. 电路仿真C. 电路测试D. 电路维修答案:D6. 在VHDL中,用于实现组合逻辑的构造块是:A. processB. if语句C. case语句D. all of the above答案:D7. Verilog中,用于描述时序逻辑的关键字是:A. alwaysB. initialC. moduleD. assign答案:A8. 在EDA设计流程中,电路综合通常发生在哪个阶段之后?A. 电路设计B. 电路仿真C. 电路测试D. 电路验证答案:B9. 下列哪个不是VHDL中的并发语句?A. ifB. caseC. loopD. procedure答案:D10. 在Verilog中,用于描述模块间连接的关键字是:A. inputB. outputC. wireD. module答案:C二、多项选择题(每题3分,共5题)1. EDA技术可以应用于以下哪些领域?A. 集成电路设计B. 软件工程C. 电子系统设计D. 机械工程答案:A, C2. VHDL中的哪些构造可以用来描述时序逻辑?A. processB. ifC. whileD. after答案:A, D3. 在Verilog中,哪些关键字用于定义模块的端口?A. inputB. outputC. inoutD. module答案:A, B, C4. EDA工具在设计流程中可以提供哪些辅助功能?A. 设计验证B. 设计优化C. 设计转换D. 设计维护答案:A, B, C5. 在EDA设计中,哪些因素会影响电路的性能?A. 电路复杂度B. 电源电压C. 温度变化D. 材料特性答案:A, B, C, D三、简答题(每题5分,共2题)1. 描述一下在EDA设计中,为什么需要进行电路仿真?答案:在EDA设计中,电路仿真是为了在实际制造电路之前,通过软件模拟电路的行为和性能。
EDA技术及应用习题参考答案
习题参考答案第2章1.可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL2.EPC型号的存储器3.(1)编程输入(2)编译若编译不成功,需要回到第一步检查编程输入,直到编译成功为止(3)仿真仿真的结果直接反映编程的结果,若结果不正确,也需要返回到第一步,重复前面的过程(4)下载4.FPGA采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。
因此,需在FPGA外加EPROM,将配置数据写入其中,系统每次上电自动将数据引入SRAM中。
CPLD器件一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。
FPGA器件含有丰富的触发器资源,易于实现时序逻辑,如果要求实现较复杂的组合电路则需要几个CLB结合起来实现。
CPLD的与或阵列结构,使其适于实现大规模的组合功能,但触发器资源相对较少。
5.67.宏单元、PIA、I/O控制块8.MAX7000系列一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。
但是编写次数有限,编程的速度不快;FLEX10K系列采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。
因此,需在FPGA外加专用配置芯片,将配置数据写入其中,系统每次上电自动将数据引入专用配置芯片中。
第3章1.设计输入、项目编译、仿真和定时分析、编程下载2.(1)原理图输入适合于对系统电路很熟悉的情况或用在对时间特性要求较高的场合;(2)波形图输入适用于时序逻辑和有重复性的逻辑函数;(3)文本输入适用于从逻辑门层次的描述到整个系统的描述。
;(4)层次化输入适用于结构较复杂的系统。
3.优点:(1)支持模块化,底层模块可反复被调用,多个底层模块可由不同的设计者同时使用,提高了设计效率;(2)设计方法比较自由;(3)团队之间的合作方便灵活。
eda技术及应用课后习题答案
eda 技术及应用课后习题答案【篇一:eda 技术实用教程(第四版)》习题答案】ss=txt>1 习题1- 1 eda 技术与asic 设计和fpga 开发有什么关系?fpga 在asic 设计中有什么用途?p3~41- 2 与软件描述语言相比,vhdl 有什么特点? p6l- 3 什么是综合?有哪些类型? 综合在电子设计自动化中的地位是什么? p51- 4 在eda 技术中,自顶向下的设计方法的重要意义是什么? p7~101- 5 ip 在eda 技术的应用和发展中的意义是什么? p22~141- 6 叙述eda 的fpga/cpld 设计流程,以及涉及的eda 工具及其在整个流程中的作用。
(p11~13)2 习题2- 1 olmc (输出逻辑宏单元)有何功能? 说明gal 是怎样实现可编程组合电路与时序电路的。
p34~362- 2 什么是基于乘积项的可编程逻辑结构? p33~34 ,40 什么是基于查找表的可编程逻辑结构? p40~412- 3 fpga 系列器件中的lab 有何作用? p43~452- 5 解释编程与配置这两个概念。
p582- 6 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的pld 器件归类为cpld ;将基于查找表的可编程逻辑结构的pld 器什归类为fpga ,那么,apex 系列属于什么类型pld 器件? max ii 系列又属于什么类型的pld 器件?为什么? p54~563 习题3- 1 画出与以下实体描述对应的原理图符号元件: entity buf3s is -- 实体1: 三态缓冲器port(input:in std_logic; -- 输入端enable:in std_logic; -- 使能端output:out std_logic); -- 输出端end buf3s ;entity mux21 is -- 实体2: 2 选1 多路选择器port(in0, in1,sel: in std_logic; output:out std_logic);3- 2 图3-16 所示的是4 选1 多路选择器,试分别用if_then 语句和case 语句的表达方式写出此电路的vhdl 程序,选择控制信号s1 和s0 的数据类型为std_logic_vector; 当s1='0',s0=;'s01'='0',s0= ;'1' s1 =' 1' ,s0=和Sf='1' ,s0=时,分别执行y=a、y=b、y=c、y=d。
(完整)《EDA技术与应用》期末试卷
EDA試卷答案一、单项选择题1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→__A__→综合→适配→____B____→编程下载→硬件测试。
P14A. 功能仿真B. 时序仿真C。
逻辑综合D。
配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__A___.P25A. 软IPB. 固IPC。
硬IP D。
全对4。
综合是EDA设计流程的关键步骤,在下面对综合的描述中,_____D____是错误的。
P15A。
综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。
C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。
D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过___A__实现其逻辑功能。
P42A. 可编程乘积项逻辑B. 查找表(LUT)C。
输入缓冲 D. 输出缓冲6。
VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B___.P274A. 器件外部特性B。
器件的内部功能C. 器件外部特性与内部功能D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中___A___不属于面积优化.P238A。
流水线设计B。
资源共享C。
逻辑优化 D. 串行化8. 进程中的信号赋值语句,其信号更新是___B____。
P134A. 立即完成B。
在进程的最后完成C。
按顺序完成 D. 都不对9。
不完整的IF语句,其综合结果可实现__A__。
EDA技术与应用智慧树知到课后章节答案2023年下山东科技大学
EDA技术与应用智慧树知到课后章节答案2023年下山东科技大学山东科技大学第一章测试1.硬件描述语言主要有哪些?()答案:SystemVerilog;Verilog;AHDL;VHDL第二章测试1.下列不属于Verilog HDL算数运算符的是()答案:=2.在verilog语言中,a=4b'1011,那么&a=()答案:null3.5’b10011>>2等于()答案:5’b001004.{4{a}}等于()答案:{a,a,a,a}5.下列关于阻塞赋值运算(如b=a;)说法错误的是()答案:在“always”模块中的reg型信号都采用此赋值方式第三章测试1.假设design为TOP.v,顶层模块名为TOP;TestBench为TOP_TB.v,顶层模块名为TOP_TB,则完整写出Modelsim仿真该设计所用命令为vlibmywork()答案:错2.常见的数字仿真器有()答案:Modelsim;Iverilog;IUS;VCS3.Modelsim中,为禁用其优化,可以在命令行上添加-novopt选项。
()答案:对4.vsim仿真时,是从物理库开始查找模块。
()答案:错5.目前modelsim可以在包含中文的路径中正常执行。
()答案:错第四章测试1.EPF10K20TC144-4具有()个管脚答案:1442.FPGA结构一般分为三部分:可编程逻辑块(CLB)、可编程I/O模块和可编程内部连线。
()答案:对3.FPGA基于SRAM结构,每次上电后需要一次配置。
()答案:对4.大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过()实现其逻辑功能。
答案:查找表(LUT)5.CPLD的可编程是主要基于什么结构()。
答案:与或阵列可编程第五章测试1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()答案:软IP2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,()是错误的。
《EDA技术及应用》朱正伟-三,四,五章部分课后题答案
第三章3-5设计一个4选1多路选择器,当选择输入信号分别取“00”、“01”、“10”和“11”时,输出信号分别与一路输入信号相连。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MAX4_1 ISPORT(A,B,C,D,S1,S2 : IN STD_LOGIC;Y : OUT STD_LOGIC);END ENTITY MAX4_1;ARCHITECTURE HF1 OF MAX4_1 ISSIGNAL SS : STD_LOGIC_VECTOR (0 TO 1);BEGINSS<=S2&S1;PROCESS(SS)BEGINCASE SS ISWHEN "00" => Y<=A;WHEN "01" => Y<=B;WHEN "10" => Y<=C;WHEN "11" => Y<=D;WHEN OTHERS => NULL;END CASE;END PROCESS;END HF1;3-6设计一个7人表决电路,参加表决者7人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。
设计思路:根据7人表决电路设计要求,7人中至少有4个通过才可以表决通过,故可以在程序中设置一个变量TEMP,使其在表决电路中遇1则加1,遇0则加0(设计中1表示通过,0表示不通过)。
当TEMP>=4时,表示表决通过,当TEMP<4时表决不通过。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY VOTE7 ISPORT (MEN:IN STD_LOGIC_VECTOR(6 DOWNTO 0);OUTPUT: OUT BIT);END VOTE7;ARCHITECTURE BEHA VE OF VOTE7 ISBEGINPROCESS(MEN)V ARIABLE TEMP: INTEGER RANGE 0 TO 7;BEGINTEMP:=0;FOR I IN 0 TO 6 LOOPIF(MEN(I)='1')THENTEMP:=TEMP+1;ELSETEMP:=TEMP;END IF;END LOOP;CASE TEMP ISWHEN 0 TO 3 =>OUTPUT<='0';WHEN 4 TO 7 =>OUTPUT<='1';END CASE ;END PROCESS;END BEHA VE;第四章4-6试写出4选1多路选择器VHDL描述。
《EDA技术与应用》A卷及答案
《EDA技术与应用》A卷及答案汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。
2.用EDA技术进行电子系统设计的目标是最终完成asic________ 的设计与实现。
3.可编程器件分为 fpga__ 和 _cpld______ 。
4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。
5.在VHDL语言中可以使用的数据类型有:_位____ 、__标准逻辑位__________、___布尔_____。
6.完整的条件语句将产生_组合_______ 电路,不完整的条件语句将产生 __时序______电路。
7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。
8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL设计当中。
9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __时序____ 仿真。
10.目前国际上较大的PLD器件制造公司有__altera________ 和___xilinx______ 公司。
二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?2、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。
5、写出五种以上的VHDL的预定义数据类型。
三、程序注解(20分,每空1分)library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能:____________________________signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ beginif (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。
EDA技术考试试题A及详细答案
EDA技术考试试题A及详细答案卷号:A 时间:120 分钟 2008 年6 月专业:电子信息工程学号:姓名:一、填空题(20分,每题2分)1、 VHDL语言通常包含实体,构造体,(),()和库五部分2、载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。
3、零件封装是指()。
4、 EDA技术也称(),是在()技术的基础上发展起来的计算机软件系统。
5、 MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图形方式、()和()输入设计文件,可以编译并形成各种能够下装到EPROM和各种ALTERA 器件的文件,还可以进行仿真以检验设计的准确性。
6、顺序描述语句中,()在MAX-PLUS中不被支持。
7、 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。
其中常数对应代表数字电路中的电源和接地等。
信号对应物理设计中的()。
8、 FPGA可分为两大类,分别是 SRAM-BASE和Anti-Fuse 设计时一般选用()。
9、 100mil=()mm,7.62mm=( )mil。
10、 PCB封装元件实际上就是()。
二、名词解释题(20分,每题4分)1 PLD/FPGA2 焊盘(Pad)3覆铜4 SOC5 自顶向下的/自下而上的设计方法三、选择题(15分,每题3分)1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是() A.PgUpB.TabC.Space barD.Esc2.Design/Options菜单中下列选项不属于开关选项的是:()A.Snap GridB.Hidden PinsC.Electrical GridD.Title block3.目前在我国常见的PLD生产厂家有XILINX、ALTERA、ACTEL、ATMEL、LATTIC、AMD和MICROCHIP等等,其中XILINX和ALTERA为两个主要生产厂,XILINX的产品为FPGA,ALTERA的产品称为CPLD,各有优缺点,但比较起来ALTERA的产品略有长处,下列说法不正确的是() A.ALTERA公司的产品价格稍微便宜B.对于SRAM结构的产品,ALTERA公司PLD的输出电流可达25MA,而XILINX 的FPGA只有16MAC.ALTERA公司的PLD延时时间可预测,弥补了FPGA的缺点D.XILINX公司的FOUNDATION软件使用简单但是不如ALTERA公司的开发软件MAX+PLUS功能全。
eda考试题及答案
eda考试题及答案一、选择题(每题2分,共10分)1. EDA技术中的“E”代表什么?A. 电子B. 工程C. 教育D. 经济答案:B2. 在EDA软件中,以下哪个不是设计验证工具?A. 仿真器B. 综合器C. 验证器D. 测试器答案:B3. 以下哪个不是数字逻辑设计的基本元素?A. 与门B. 或门C. 非门D. 放大器答案:D4. 在EDA设计流程中,以下哪个步骤是用于优化设计的?A. 综合B. 布局C. 布线D. 时序分析答案:D5. 以下哪个不是EDA软件的功能?A. 电路图绘制B. 电路仿真C. 电路板设计D. 机械加工答案:D二、填空题(每题2分,共10分)1. EDA技术的核心是_______,它能够自动完成电路设计、验证和仿真等过程。
答案:电子设计自动化2. 在EDA设计中,_______是指在电路设计完成后,通过软件工具检查电路是否满足设计要求的过程。
答案:验证3. 电路设计的_______阶段是将电路的逻辑功能转换为可以在特定硬件上实现的物理形态。
答案:综合4. 在EDA软件中,_______是一种用于模拟电路行为的工具,它可以帮助设计者预测电路的实际工作情况。
答案:仿真器5. 电路设计的_______是指在电路板上合理布置电子元件和连接线路的过程。
答案:布局三、简答题(每题5分,共20分)1. 请简述EDA技术在现代电子设计中的重要性。
答案:EDA技术在现代电子设计中至关重要,它通过自动化的设计流程提高了设计效率,减少了人为错误,缩短了产品上市时间,并且能够处理复杂的电路设计问题,是现代电子设计不可或缺的工具。
2. 描述一下在EDA设计流程中,布局和布线阶段的主要任务。
答案:在EDA设计流程中,布局阶段的主要任务是将电路中的各个元件放置在电路板上的合适位置,以优化电路的性能和减少成本。
布线阶段则是在布局完成后,将各个元件通过导线连接起来,确保电路的电气连接正确无误,同时考虑信号完整性和电磁兼容性。
eda原理及应用试题及答案
eda原理及应用试题及答案一、选择题(每题2分,共20分)1. EDA(Electronic Design Automation)的中文意思是:A. 电子设计自动化B. 电子文档自动化C. 电子数据自动化D. 电子设备自动化答案:A2. EDA技术不包括以下哪一项:A. 电路仿真B. PCB设计C. 电子制图D. 硬件描述语言答案:C3. 在EDA中,HDL指的是:A. 高级数据链接B. 高级设计语言C. 硬件描述语言D. 硬件开发语言答案:C4. 下列哪个不是EDA软件工具的功能:A. 逻辑综合B. 布局布线C. 代码编译D. 时序分析答案:C5. 在EDA中,用于描述数字逻辑电路行为的HDL是:A. VerilogB. VHDLC. C语言D. Java答案:A6. EDA技术在以下哪个领域应用最为广泛:A. 软件开发B. 机械设计C. 电子设计D. 建筑设计答案:C7. 以下哪个不是EDA工具所支持的仿真类型:A. 功能仿真B. 时序仿真C. 物理仿真D. 行为仿真答案:C8. 在EDA设计流程中,通常最后进行的步骤是:A. 逻辑综合B. 布局布线C. 编译D. 测试答案:D9. 以下哪个不是EDA设计流程中的步骤:A. 需求分析B. 逻辑设计C. 电路测试D. 市场调研答案:D10. EDA技术可以提高以下哪方面的效率:A. 电路设计B. 产品销售C. 客户服务D. 物流管理答案:A二、填空题(每题2分,共20分)1. EDA技术的核心是______,它允许设计师在没有实际硬件的情况下对电路进行测试和验证。
答案:仿真2. 在EDA中,______是一种高级的编程语言,用于描述和设计电子系统。
答案:硬件描述语言3. 逻辑综合是将______转换为门级网表的过程。
答案:HDL代码4. PCB设计中,EDA工具可以帮助设计师进行______和______。
答案:布局;布线5. 时序分析是确保电路在规定的______内正确工作的分析。
电子科学EDA试卷含答案(A)
2009 —2010年第二学期闽江学院考试试卷答案(A)适用年级专业:07级电子信息工程考试形式:闭卷笔试考试课程:电子设计自动化(EDA技术)班级姓名学号一、选择题(每小题2分共20分)20 %1.下面不是实现ASIC的方法是(D),A包括超大规模可编程逻辑电路(FPGA、CPLD)B半定制或全定制ASICC混合ASICD JTAG2.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,___ D _____是正确的。
A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 综合是纯软件的转换过程,与器件硬件结构无关;C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为强制综合。
D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的;3.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→________→综合→适配→_____ _____→编程下载→硬件测试。
(D)①功能仿真②时序仿真③逻辑综合④配置⑤引脚锁定A.③① B. ⑤② C.④⑤ D. ①②4.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是____C___。
A. CPLD是基于查找表结构的可编程逻辑器件;B. CPLD即是现场可编程逻辑器件的英文简称;C. 早期的CPLD是从GAL的结构扩展而来;D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构;5.在执行Quartus II的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B. SimulatorC. CompilerD.Timing Analyzer6.不是VHDL常用的库是(D )A. IEEEB.STDC. WORKD. PACKAGE7.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的____C____。
EDA技术EDA技术试卷(练习题库)(2023版)
EDA技术EDA技术试卷(练习题库)1、个项目的输入输出端口是定义在()。
2、描述项目具有逻辑功能的是()。
3、关键字ARCHITECTURE定义的是。
4、 MAXPLUSII中编译VHDL源程序时要求()。
5、 1987标准的VHDL语言对大小写是()。
6、关于1987标准的VHDL语言中,标识符描述正确的是()。
7、符合1987VHDL标准的标识符是()。
8、 VHDL语言中变量定义的位置是()。
9、 VHDL语言中信号定义的位置是()。
10、变量是局部量可以写在()。
11、变量和信号的描述正确的是()。
12、关于VHDL数据类型,正确的是()。
13、下面数据中属于实数的是()。
14、下面数据中属于位矢量的是()。
15、可以不必声明而直接引用的数据类型是()。
16、 STD_LOGIG_1164中定义的高阻是字符()。
17、 STD_LOGIG_1164中字符H定义的是()。
18、使用STD_LOGIG_1164使用的数据类型时()。
19、 VHDL运算符优先级的说法正确的是()。
20、如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。
21、不属于顺序语句的是()。
22、正确给变量X赋值的语句是()。
23、 EDA的中文含义是()。
24、 EPF10K20TC144-4具有多少个管脚()。
25、如果a=1,b=1,则逻辑表达式(aXORb)OR(NOTbANDa)的值是()。
26、 MAX+PLUSII的,数据类型为std_logic_vector,试指出下面那个30、在一个VHDL,数据类型为integer,数据范围0to127,下面哪个赋31、下列那个流程是正确的基于EDA软件的FPGA/CPLD和变量的说法,哪一个是不正确的:()。
33、下列语句中,不属于并行语句的是:()。
34、()在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。
35、不是操作符号它只相当与作用" target="_blank">在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与()作用。
《EDA技术及应用》课后题解
单元一EDA技术概述1.什么是EDA技术?EDA技术的基本特征是什么?答:EDA(Electronic Design Automation,电子设计自动化)技术是电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。
EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。
2.可编程逻辑器件有什么特点?有哪些可编程资源?答:可编程逻辑器件的主要特点1.缩短研制周期2.降低设计成本3.提高设计灵活性3.写出Altera器件中的下列英文缩写的中文含义。
LE LAB PIA EAB答:LE:逻辑单元LAB:逻辑阵列块PIA:可编程连线阵列EAB:嵌入式阵列块4.简述可编程逻辑器件的发展趋势。
答:可编程逻辑器件未来的发展将呈现以下几个方面的趋势:1)向高密度、大规模的方向发展2)向系统内可重构的方向发展3)向低电压、低功耗的方向发展4)向高速可预测延时器件的方向发展5)向混合可编程技术方向发展单元二可编程逻辑器件的设计与开发1.简述可编程逻辑器件的一般设计过程。
答:CPLD/FPGA器件的设计流程一般分为设计输入、设计实现、设计校验和编程下载4个步骤。
2.试比较可编程逻辑器件设计的常用输入方式。
答:1)原理图输入原理图是图形化的表达方式,它是利用软件中所提供的元件符号和连线来描述设计。
其特点是比较直观,便于进行接口设计和引脚锁定,容易实现仿真,便于信号的观察和电路的调整,系统运行速率较高,但当描述复杂电路时则比较烦琐。
2)硬件描述语言输入硬件描述语言输入是采用文本方式描述设计,这种方式的描述范围较宽,从简单的门电路到复杂的数字系统均可描述。
特别是在描述复杂设计时,非常简洁。
但这种描述方式不适合描述接口和连接关系,并且该输入方式必须依赖综合器,只有好的综合器才能把语言综合成优化的电路。
3.列举常用的EDA工具软件,并比较各有什么特点。
答:1)Synplify该软件是由Synplicity公司专为FPGA和CPLD开发设计的逻辑综合工具。
eda考试试题和答案
eda考试试题和答案**EDA考试试题和答案**一、单项选择题(每题2分,共20分)1. EDA技术中,“EDA”代表的是以下哪个选项?A. 电子设计自动化B. 电子数据自动化C. 电子设计自动化D. 电子文档自动化答案:A2. 在EDA软件中,用于绘制电路原理图的软件模块通常被称为什么?A. PCB LayoutB. Schematic CaptureC. SimulationD. FPGA Programming答案:B3. 下列哪个不是EDA软件的主要功能?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械设计答案:D4. 在EDA技术中,PCB指的是什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 处理器控制板答案:A5. 在EDA软件中,用于进行电路仿真分析的模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:C6. EDA技术中,FPGA代表什么?A. 现场可编程逻辑阵列B. 固定逻辑阵列C. 现场可编程门阵列D. 固定可编程逻辑阵列答案:C7. 在EDA技术中,以下哪个不是PCB设计的基本步骤?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械加工答案:D8. 在EDA软件中,用于生成PCB版图的软件模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:B9. 在EDA技术中,以下哪个不是电路仿真分析的常用软件?A. PSpiceB. MultisimC. AutoCADD. LTspice答案:C10. EDA技术中,以下哪个不是版图设计中常用的文件格式?A. .dxfB. .gdsC. .pdfD. .drill答案:C二、多项选择题(每题3分,共15分)11. EDA技术中,以下哪些是电路仿真分析时需要考虑的因素?A. 元件模型B. 电源电压C. 机械结构D. 温度变化答案:A, B, D12. 在EDA软件中,以下哪些是版图设计时需要考虑的因素?A. 元件布局B. 走线宽度C. 电源管理D. 信号完整性答案:A, B, D13. EDA技术中,以下哪些是FPGA设计时需要考虑的因素?A. 逻辑门数量B. 时钟频率C. 电源管理D. 散热设计答案:A, B, C, D14. 在EDA技术中,以下哪些是PCB设计时需要考虑的因素?A. 层数B. 板厚C. 元件封装D. 机械加工答案:A, B, C15. EDA技术中,以下哪些是电路设计时需要考虑的因素?A. 信号完整性B. 电源完整性C. 电磁兼容性D. 机械兼容性答案:A, B, C三、判断题(每题2分,共10分)16. EDA技术可以完全替代传统的手工电路设计方法。
eda考试题库及答案
eda考试题库及答案1. EDA技术中的"EDA"代表什么?A. 电子设计自动化B. 电子数据交换C. 电子文档分析D. 电子设备应用答案:A2. 在EDA设计中,以下哪个不是设计流程的基本步骤?A. 原理图输入B. 电路仿真C. 版图设计D. 电路测试答案:D3. 以下哪个软件不是用于EDA设计的?A. CadenceB. Altium DesignerC. MATLABD. Adobe Photoshop答案:D4. 在EDA设计中,PCB代表什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 程序控制板答案:A5. 在EDA设计中,HDL指的是什么?A. 高级设计语言B. 硬件描述语言C. 混合数字语言D. 混合数据语言答案:B6. 在EDA设计中,FPGA和ASIC的主要区别是什么?A. FPGA是可编程的,ASIC是固定的B. FPGA是固定的,ASIC是可编程的C. FPGA和ASIC都是可编程的D. FPGA和ASIC都是固定的答案:A7. 在EDA设计中,以下哪个不是版图设计中常用的术语?A. 布局B. 布线C. 封装D. 编译答案:D8. EDA技术在以下哪个领域应用最广泛?A. 软件开发B. 电路设计C. 数据分析D. 网络通信答案:B9. 在EDA设计中,以下哪个不是电路仿真的目的?A. 验证电路设计的正确性B. 预测电路的性能C. 优化电路设计D. 生产电路板答案:D10. EDA技术的发展对以下哪个行业影响最大?A. 汽车制造B. 电子制造C. 食品加工D. 纺织业答案:B结束语:以上是EDA考试题库及答案,希望对您的学习和考试有所帮助。
最新《EDA技术与应用》A卷及答案资料
汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。
2.用EDA技术进行电子系统设计的目标是最终完成 asic________ 的设计与实现。
3.可编程器件分为 fpga__ 和 _cpld______ 。
4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。
5.在VHDL语言中可以使用的数据类型有: _位____ 、 __标准逻辑位__________、___布尔_____。
6.完整的条件语句将产生 _组合_______ 电路,不完整的条件语句将产生 __时序______电路。
7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。
8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL设计当中。
9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __时序____ 仿真。
10.目前国际上较大的PLD器件制造公司有 __altera________ 和 ___xilinx______ 公司。
二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?2、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。
5、写出五种以上的VHDL的预定义数据类型。
三、程序注解(20分,每空1分)library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能: ____________________________signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ beginif (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。
EDA技术与应用课后习题答案(2)
EDA技术与应用课后习题答案(2)END IF;END PROCESS;PR02:PROCESS(s1)BEGINIF s1=”0” THEN outy<=a1;ELSE outy<=tmp;END IF;END PROCESS;END ARCHITECTURE ONE;END CASE;4-4.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。
4-4.答案LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MULTI ISPORT(CL:IN STD_LOGIC; --输入选择信号CLK0:IN STD_LOGIC; --输入信号OUT1:OUT STD_LOGIC);--输出端END ENTITY;ARCHITECTURE ONE OF MULTI ISSIGNAL Q : STD_LOGIC;BEGINPR01: PROCESS(CLK0)BEGINIF CLK ‘EVENT AND CLK=’1’THEN Q<=NOT(CL OR Q);ELSEEND IF;END PROCESS;PR02: PROCESS(CLK0)BEGINOUT1<=Q;END PROCESS;END ARCHITECTURE ONE;END PROCESS;4-5.给出1位全减器的VHDL描述。
要求:(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in 是借位输入。
(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x – y - sun_in = diffr) 4-5.答案底层文件1:or2a.VHD实现或门操作LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY or2a ISPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b;END ARCHITECTURE one;底层文件2:h_subber.VHD实现一位半减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY h_subber ISPORT(x,y:IN STD_LOGIC;diff,s_out::OUT STD_LOGIC);END ENTITY h_subber;ARCHITECTURE ONE OF h_subber ISSIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGINxyz <= x & y;PROCESS(xyz)BEGINCASE xyz ISWHEN "00" => diff<='0';s_out<='0';WHEN "01" => diff<='1';s_out<='1';WHEN "10" => diff<='1';s_out<='0';WHEN "11" => diff<='0';s_out<='0';WHEN OTHERS => NULL;END CASE;END PROCESS;END ARCHITECTURE ONE;顶层文件:f_subber.VHD实现一位全减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_subber ISPORT(x,y,sub_in:IN STD_LOGIC;diffr,sub_out:OUT STD_LOGIC);END ENTITY f_subber;ARCHITECTURE ONE OF f_subber IS COMPONENT h_subberPORT(x,y:IN STD_LOGIC;diff,S_out:OUT STD_LOGIC);END COMPONENT;COMPONENT or2aPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f: STD_LOGIC;BEGINu1: h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);u2: h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f);u3: or2a PORT MAP(a=>f,b=>e,c=>sub_out);END ARCHITECTURE ONE;END ARCHITECTURE ART;4-6.根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。
EDA技术与应用课后习题答案
EDA技术与应用课后习题答案EDA技术与应用课后习题答案对有关问题所作的解答的结果;对提出的问题所做的解答,练习的答案。
以下是店铺为大家整理的EDA技术与应用课后习题答案,仅供参考,希望能够帮助大家。
第一章1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。
FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。
FPGA 和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。
1-2与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。
综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。
综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
1-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。
2.用EDA技术进行电子系统设计的目标是最终完成 asic________ 的设计与实现。
3.可编程器件分为 fpga__ 和 _cpld______ 。
4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。
5.在VHDL语言中可以使用的数据类型有: _位____ 、 __标准逻辑位__________、___布尔_____。
6.完整的条件语句将产生 _组合_______ 电路,不完整的条件语句将产生 __时序______电路。
7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。
8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL设计当中。
9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __时序____ 仿真。
10.目前国际上较大的PLD器件制造公司有 __altera________ 和 ___xilinx______ 公司。
二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?2、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。
5、写出五种以上的VHDL的预定义数据类型。
三、程序注解(20分,每空1分)library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能: ____________________________signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ beginif (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。
2.设计一个带有复位控制端和时钟使能控制端的10进制计数器。
3、下图是4选1多路选择器,试分别用IF_THEN语句或CASE语句的表达方式写出此电路的VHDL程序。
选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1='0',s0='0';s1='0',s0='1';s1='1',s0='0'和s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。
《EDA技术与应用》A卷答案:一、填空题(20分,每小题1分)1、超高速集成电路硬件描述语言2、 ASIC3、 FPGA 和 CPLD 。
4、‘1’、‘0’、‘z’5、位、标准逻辑位、布尔。
6、组合,时序7、 <= = 。
8、自顶向下9、行为、逻辑和时序10、 Altera 和 Xilinx二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?①设计者不需增加新的相关知识,如HDL等。
②输入方法与用protel作图相似,设计过程形象直观,适合初学者入门。
③对于较小的电路模型,其结构与实际电路十分接近,设计者易于把握电路全局(适合设计小型数字电路)。
④设计方式接近于底层电路布局,因此易于控制逻辑资源的耗用,节省面积。
2、写出结构体的一般语言格式并说明其作用ARCHITECTURE 结构体名 OF 实体名 IS[说明语句]BEGIN[功能描述语句]END ARCHITECTURE 结构体名;结构体用于描述电路器件的内部逻辑功能或电路结构。
使用的语句有顺序语句和并行语句。
3、信号和变量的区别?①信号赋值至少有δ延时,而变量赋值没有延时。
②信号除当前值外有许多相关的信息,而变量只有当前值。
③进程对信号敏感而对变量不敏感④信号可以是多个进程的全局信号;而变量只在定义它们的顺序域可见。
⑤信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件。
变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。
⑥信号赋值和变量赋值分别使用不同的赋值符号“<=”和“:=”,信号类型和变量类型可以完全一致,也允许两者之间相互赋值,但要保证两者的类型相同。
4、写出PROCESS语句结构的一般表达格式。
[进程标号: ] PROCESS [ ( 敏感信号参数表 ) ] [IS][进程说明部分]BEGIN顺序描述语句END PROCESS [进程标号];5、写出五种以上的VHDL的预定义数据类型。
布尔(BOOLEAN)数据类型、位(BIT)数据类型、位矢量(BIT_VECTOR)数据类型字符(CHARACTER)数据类型、整数(INTEGER)数据类型、实数(REAL)数据类型字符串(STRING)数据类型、时间(TIME)数据类型三、程序注解(20分,每空1分)library ieee; 定义元件库use ieee.std_logic_1164.all; 使用ieee库中ENTITY aa1 is 定义实体 __port(a,b,s:in bit; a,b,s为输入端口,数据类型bitend aa1; 实体描述结束architecture one of aa1 is 定义结构体y<=a when s='0' else b; 当S=0时 y=a,否则等bend one; 结构体描述结束逻辑功能: 2选1选择器signal s1 : bit ; 定义信号s1begin 结构体描述开始process (clk,d) 进程语句beginif (clk = ‘1’)判断高电平then 不完整条件语句s1 <= d; d向信号赋值end if; if语句结束q <= s1 ; 信号s1 向q赋值end process; 进程语句结束end bo; 结构体描述结束逻辑功能:锁存器描述四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。
Entity dff_a isport (clk, d : in bit;q : out bit);end dff_a;architecture body of dff_a issignal q1 : bit ;beginprocess (clk)beginif clk='0' AND clk’last_value='1'thenq1 <= d;end if;q <= q1 ;end process;end architecture dff_a;2.设计一个带有复位控制端和时钟使能控制端的10进制计数器。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT (CLK,RST,EN : IN STD_LOGIC;CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT : OUT STD_LOGIC );END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK, RST, EN)VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST = '1' THEN CQI := (OTHERS =>'0') ; --计数器复位ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿IF EN = '1' THEN --检测是否允许计数IF CQI < "1001" THEN CQI := CQI + 1; --允许计数ELSE CQI := (OTHERS =>'0');--大于9,计数值清零END IF;END IF;END IF;IF CQI = "1001" THEN COUT <= '1'; --计数大于9,输出进位信号ELSE COUT <= '0';END IF;CQ <= CQI; --将计数值向端口输出END PROCESS;END behav;3、下图是4选1多路选择器,试分别用IF_THEN语句或CASE语句的表达方式写出此电路的VHDL程序。
选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1='0',s0='0';s1='0',s0='1';s1='1',s0='0'和s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。