四位数字密码锁的设计
4位数字密码锁的设计
![4位数字密码锁的设计](https://img.taocdn.com/s3/m/50a92da8ed3a87c24028915f804d2b160b4e8683.png)
4位数字密码锁的设计
4位数字密码锁是一种比较常见的安全锁,可以应用于日常生活,如保险箱、抽屉等
物品,用以保护一些隐私物品,也有可以用在某些重要管理场所中。
由于比较简单,通常
被广泛使用。
1、首先,4位数字密码锁的外壳表面一般是由硬质金属或硬质塑料制成,采用了单重质量设计,保证更加坚固可靠,用于避免被他人拆卸改装和刮伤等情况。
2、其次,4位数字密码锁设有锁体按钮,按钮可以设置为永久锁死,也可以设置一次锁死。
只有输入正确的4位数字密码才可以解锁,这样就可以避免不安全因素,提高安全性。
3、此外,锁体中装有一个电路板,用于防止锁被轻易开锁,增加锁的安全性。
例如,当用户输入了三次错误的密码之后,电路板就会被自动锁死,直到指定的时间才能解锁。
4、最后,4位数字密码锁一般具有双向加锁功能,可以锁住保险箱或抽屉内部的两侧,增强财产安全。
总体而言,4位数字密码锁设计的功能丰富,安全性高,不需要复杂的技术才可以快
速操作,所以受到了很多消费者的青睐。
四位数字密码锁
![四位数字密码锁](https://img.taocdn.com/s3/m/a3ecc4e5f8c75fbfc77db2f9.png)
数字电路基础实验设计报告班级:521姓名:李世龙学号:2010052106设计题目:四位数字密码锁指导老师:张光普四位密码锁一设计任务:通过组合逻辑电路来实现四位密码锁功能。
当输入正确的密码时LED灯亮但蜂鸣器不响,输入错误密码时LED灯不亮但蜂鸣器响。
当关闭密码锁开关时无论输入什么样的密码LED灯都亮蜂鸣器都响。
密码的输入由开关的闭合与断开控制高低电平进行密码的输入。
二设计原理:开关J1,J2, J3,J4通过接低电平或者接入高电平进行密码的输入,J5为密码锁的开关当它接入高低电平来控制密码锁是否工作。
其他的门电路来实现密码锁转换功能。
真值表J5 J1 J2 J3 J4 LEDBUZZER0 ×××× 1 11 0 0 0 0 0 11 0 0 0 1 0 11 0 0 1 0 0 11 0 0 1 1 011 0 1 0 0 0 11 0 1 0 1 0 11 0 1 1 0 0 11 0 1 1 1 0 11 1 0 0 0 0 11 1 0 0 1 0 11 1 0 1 0 0 11 1 0 1 1 0 11 1 1 0 0 101 1 1 0 1 0 11 1 1 1 0 0 11 1 1 1 1 0 1三测量和调试结果:当J5接入电平密码锁处于非工作状态无论输入密码是什么LED灯都会亮起,蜂鸣器会一直响。
当J5接入高电平时密码锁处于正常工作状态,当输入正确的密码1100时即J1,J2接入高电平J3,J4接入低电平时LED灯会亮但蜂鸣器不会响,当输入其他密码时均为错误密码LED灯不亮蜂鸣器会响。
按照设计原理图接好电路后发现输入正确的密码LED灯会亮,但输入错误的密码和密码锁处于非工作状态时蜂鸣器不响。
通过对电路的检查发现蜂鸣器的正负接反了重新改正电路,电路正常工作设计任务完成四参考文献【1】阎石.数字电子技术基础.第五版.北京:高等教育出版社。
51单片机四位密码锁课程设计
![51单片机四位密码锁课程设计](https://img.taocdn.com/s3/m/1714cbc7b8d528ea81c758f5f61fb7360b4c2bf3.png)
51单片机四位密码锁课程设计51单片机四位密码锁是一种常见的电子锁,它使用51单片机作为控制核心,通过输入四位密码来控制锁的开关。
本文将介绍关于51单片机四位密码锁的课程设计。
我们需要明确设计的目标和要求。
本设计的主要目标是实现一个四位密码锁系统,并且需要满足以下要求:1.能够输入四位数字密码。
2.密码输入正确时,能够解锁并输出解锁信号。
3.密码输入错误时,不能解锁。
4.能够重置密码。
接下来,我们将详细介绍设计的步骤和实现。
1.硬件设计:在硬件设计方面,我们需要准备以下器件:- 51单片机-数码管显示模块-按键模块-继电器模块-电源模块2.软件设计:在软件设计方面,我们需要编写51单片机的程序,通过编程实现密码锁的功能。
以下是设计的主要步骤:-初始化:设置输入输出引脚,并初始化时钟和数码管显示。
-输入密码:设计密码输入的函数,通过按键模块获取用户输入的密码。
-检验密码:设计密码检验的函数,将用户输入的密码与预设的密码进行比对。
-解锁信号:如果密码输入正确,输出解锁信号,通过继电器控制开关,实现解锁。
-密码错误:如果密码输入错误,通过数码管显示密码错误的提示信息。
-重置密码:设计密码重置的函数,将新设置的密码保存。
3.程序流程:根据以上设计的步骤,我们可以得到以下主要的程序流程:-初始化引脚和时钟。
-设置初始密码。
-进入主程序循环。
-接收用户输入的密码。
-检验密码是否正确。
-如果密码正确,显示解锁信号并控制继电器解锁。
-如果密码错误,显示密码错误信息。
-进入下一次循环。
4.调试和测试:完成程序编写后,我们需要进行调试和测试。
在测试过程中,我们需要输入正确和错误的密码进行验证,检查程序是否能够正常运行,并且能够正确判断和处理用户输入的情况。
5.优化改进:如果在测试中发现问题或不足之处,我们可以对程序进行优化和改进。
例如,可以增加密码输入的最大尝试次数,增加延时等待时间,增加密码保护等功能。
总结:通过对51单片机四位密码锁的课程设计,我们学习了如何使用51单片机编写程序,实现密码锁的功能。
四位密码锁电路课程设计报告
![四位密码锁电路课程设计报告](https://img.taocdn.com/s3/m/408667bfa1116c175f0e7cd184254b35eefd1ade.png)
四位密码锁电路课程设计报告一、设计要求设计一种四位密码锁电路,需要具备以下功能:1. 开关控制:设有一个开关,可以开启或关闭密码锁电路。
2. 设置密码:密码为四位数字,可以自由设置。
3. 输入密码:密码通过数码管实时显示,可以输入四位数字的密码。
4. 锁定/解锁:输入正确的密码后,可以解锁,否则锁定密码锁。
5. 报警提示:在输入错误密码超过三次的情况下,会有报警提示。
二、电路设计1. 功能分析要实现以上要求的四位密码锁设计,可以将电路分为以下部分:1.1 时钟信号控制器:使用定时器生成一个,50ms的定时器中断来产生时钟信号,控制键盘扫描和密码输送。
1.2 数码管驱动:使用74LS47电路进行数码管动态扫描驱动。
同时,用4094低频同步移位寄存器输出数码管所显示的数字。
1.3 锁控制电路:使用继电器电路来实现锁的控制。
1.4 按键输入电路:使用74LS74 D触发器和IP3386A电位器来实现按键的输入。
1.5 密码比较电路:使用四路与非门来进行密码的比较操作。
1.6 报警提示:使用蜂鸣器进行声音提示。
2. 详细设计2.1 时钟信号控制器时钟信号控制器由XTAL时钟电路、定时器电路和键盘扫描电路组成。
2.1.1XTAL时钟电路XTAL时钟电路的工作原理是在石英晶体的两端加了一对金属片,当晶体被振动时,金属片之间产生的压电效应会生成一个稳定的频率和幅度的交变电压信号,这个信号的频率稳定高,精度高。
因此本电路选用4MHZ的外接石英晶体。
2.1.2 定时器电路定时器电路主要由1个555定时器芯片构成。
555定时器芯片是一种多功能集成电路,主要有两种工作方式:单稳态和多稳态。
本电路采用555定时器来实现一个50ms定时器。
2.1.3 键盘扫描电路键盘采用4×3的矩阵键盘,采用行列扫描方式进行扫描。
用74LS74 D触发器将键盘的行信号和处理器的时钟信号连接,通过对D触发器读写的方式来实现行信号的输入和输出。
4位电子密码锁设计
![4位电子密码锁设计](https://img.taocdn.com/s3/m/0ec8953c3968011ca200910d.png)
而随着人们生活水平的提高,电子密码防盗作为防盗卫士的作用越来越重要,电子密码锁用密码代替钥匙,不但省去了佩戴钥匙的烦恼,也从根本上解决了普通门锁保密性差的缺点,如果采用4位密码,则密码组合可达到10000,每增加1位,密码组合就增加10倍,同时可设多组密码,其中一组是管理密码,可以增加用户密码又清除所有用户的密码,设计的电子密码防盗锁利用串行EEPROM存储器,将设计的电子密码存入EEPROM中,从而克服了旧式电子密码锁电路断电后所设置密码丢失的缺点,另外,该锁还具有报警等辅助功能,是典型的机电一体化产品。
摘要
电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。
本系统由STC89C52单片机系统(主要是STC89C52单片机最小系统)、4×4矩阵键盘、LCD1602显示和报警系统等组成,具有设置、修改4位电子密码、连续输入三次密码错误报警等功能。
数字密码锁设计报告
![数字密码锁设计报告](https://img.taocdn.com/s3/m/3cb888ef5ef7ba0d4a733b2e.png)
1 设计任务描述1.1设计题目:数字密码锁1.2 设计要求1.2.1 设计目的(1)掌握数字密码锁的构成、原理与设计方法;(2)熟悉集成电路的使用方法。
1.2.2 基本要求(1)设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K0~K9分别代表数字0、1、2、3、4、5、6、7、8、9。
(2)用一位输出电平的状态代表锁的开闭状态(用灯光显示或报警表示)。
1.2.3 发挥部分(1)可删除输入的数字;(2)三次错误输入锁定键盘,并发出提示或报警;其它。
2 设计思路用密码去控制各个D触发器的翻转,达到密码开锁的目的,用按钮开关去控制电子门铃的触发信号,达到按响门铃的目的。
四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。
平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。
当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁,用二极管发光来显示开锁成功。
因电容C2电压不能突变,在接通电源瞬间C2的电压为零,使得N1-N4各位皆为零。
输入三次错误密码时,会由计数器74193来检测,并发出报警信号和锁定键盘的信号。
3 设计方框图数字密码锁设计方框如图3.1所示。
图3.1设计方框图4各部分电路设计及参数计算4.1密码的设置电路设计密码设置和输入密码的电路如图4.1所示。
图4.1密码设置图中默认的密码为0953,用户可以自行设置密码。
共有10个开关可设置0-9个数字的密码,第11个开关为复位开关,当输入错误时可以选择复位,重新输入。
其他的开关为干扰密码。
4.2判断密码是否正确的电路的设计判断密码正误的电路图如图4.2所示。
图4.2判断密码正误四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。
平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。
当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁。
四位数字密码锁设计
![四位数字密码锁设计](https://img.taocdn.com/s3/m/4d728e84e53a580216fcfe70.png)
电子科技大学数字电路课程设计报告题目:保险箱用四位数字代码锁院系:专业:学号:学生姓名:指导教师:保险箱用四位数字代码锁一.设计要求:设计一个保险箱用的4位数字代码锁,该锁有规定的地址代码A、B、C、D4个输入端和一个开箱钥匙孔信号E的输入端,锁的代码由实验者自编。
当用钥匙开箱时,如果输入的4个代码正确,保险箱被打开;否则,电路将发出警报(可用发光二极管亮表示)。
具体要求:1)写出改组合逻辑电路的分析和设计方法;2)参考有关资料画出原理图,找出要使用的芯片;3)画出真值表以验证是否真确;4)使用Verilog HDL语言进行仿真。
二.设计方案:1.该组合逻辑电路的分析和设计方法:本设计方案中我采用多路复用器,2-4译码器,LED灯和或门等器件来完成设计。
用2个74x151多路复用器扩展为16-2多路复用器,题目中的地址代码A、B、C、D4个输入端作为扩展的多路复用器的地址端,D0-D8作为数据端。
开箱钥匙孔信号E作为2-4decoder的使能端。
设计开锁的正确代码为0101,当用钥匙开锁(即2-4decoder的使能端有效)时,如果正确输入开锁密码:0101,则输出Y为逻辑高电平,Y’为逻辑低电平,锁被打开,而LED灯不会亮(即不会报警);如果输入的密码错误或者钥匙孔信号无效,则输出Y为逻辑低电平,Y’为逻辑高电平,锁无法打开,逻辑高电平Y’驱动LED灯亮,产生报警效果。
2.设计原理图:(以下电路图为用Quartus II综合后截屏所得)总体逻辑电路图2-4译码器逻辑电路图151多路复用器逻辑电路图D C B A LATCH LED0 0 0 0 0 10 0 0 1 0 10 0 1 0 0 10 0 1 1 0 10 1 0 0 0 10 1 0 1 1 00 1 1 0 0 10 1 1 1 0 11 0 0 0 0 11 0 0 1 0 11 0 1 0 0 11 0 1 1 0 11 1 0 0 0 11 1 0 1 0 11 1 1 0 0 11 1 1 1 0 1假设用钥匙开锁(即钥匙孔信号E有效)时的真值表4.Verilog HDL语言仿真:程序代码:module e2_4decoder(i,en,y);input en;input [1:0] i;output [3:0] y;reg [3:0] y;always @(i or en)beginif(en)case(i)0:y=4'b0001;1:y=4'b0010;2:y=4'b0100;3:y=4'b1000;endcaseelse y=4'b0000;endendmodulemodule mutiplexer16_1(a,b,c,en,d,y,y_l);input a,b,c,en;input [7:0] d;output y,y_l;reg y,y_l;always @(a,b,c,en)beginif(en==0)if(c==0&b==0&a==0) y=d[0];else if(c==0&b==0&a==1) y=d[1];else if(c==0&b==1&a==0) y=d[2];else if(c==0&b==1&a==1) y=d[3];else if(c==1&b==0&a==0) y=d[4];else if(c==1&b==0&a==1) y=d[5];else if(c==1&b==1&a==0) y=d[6];else y=d[7];else y=0;y_l=!y;endendmodulemodule liuyong(A,B,C,D,set,LED,LATCH);wire [3:0] y;wire [1:0] i;input A,B,C,D;input [15:0] set;output LED,LATCH;assign i[1]=0;assign i[0]=D;e2_4decoder u1(i,1,y);mutiplexer16_1 m1(A,B,C,!y[0],set[7:0],y1,y1_l);mutiplexer16_1 m2(A,B,C,!y[1],set[15:8],y2,y2_l); or (LATCH,y1,y2);not (LED,LATCH);endmodule以下为仿真得到的时序图:。
4位数字密码锁的设计
![4位数字密码锁的设计](https://img.taocdn.com/s3/m/4a6be59ef78a6529657d5320.png)
1技术指标用与非门设计一个4位或多位代码的数字锁,要求如下:A: 设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号:B: 进行电路仿真,并说明其工作原理。
2方案比较方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、D3 Proteus软件介绍Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。
Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。
Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。
此系统受益于15年来的持续开发,被《电子世界》在其对PCB设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。
Proteus 产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。
用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。
其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE 仿真;ARES PCB设计。
四位二进制密码锁设计说明书-XX
![四位二进制密码锁设计说明书-XX](https://img.taocdn.com/s3/m/0cf505dbf12d2af90242e6e4.png)
总成绩:一、设计任务四位二进制电子密码锁的设计二、设计条件EEL—69模拟、数字电子技术实验箱一台集成运算放大器实验插板一块直流稳压电源一台双踪示波器一台数字万用表一块主要元器件同步加法计数器74LS161、74LS00、74LS02、导线等(EEL—69模拟、数字电子技术实验箱上有喇叭、三极管以及芯片的插座;集成运算放大器实验插板上有不同参数值的电阻和电容,可任意选用)三、设计要求①密码锁可以输入4位二进制密码,每输入一次后需按确认键确认。
②若输入的密码正确,则绿灯显示亮。
③若密码输入不正确,且连续超过3次,则红灯亮。
④可修改密码。
四、设计内容1.电路原理图(含管脚接线)㈠ 74LS161管脚图12.计算与仿真分析3.元器件清单4.调试流程5.设计和使用说明五、设计总结六、设计参考资料一、设计任务例如:温度控制器的设计二、设计条件本设计基于学校实验室……三、设计要求要求……四、设计内容设计内容包括……1.电路原理图(含管脚接线)2.计算与仿真分析3.元器件清单(1)设计要求①密码锁可以输入4位二进制密码,每输入一次后需按确认键确认。
②若输入的密码正确,则绿灯显示亮。
③若密码输入不正确,且连续超过3次,则红灯亮。
④可修改密码。
(2)预习要求①熟悉利用计数器74LS161实现分频器的工作原理。
②设计相应的电路图,标注元件参数,并进行仿真验证。
(3)仪器设备及元器件EEL—69模拟、数字电子技术实验箱一台集成运算放大器实验插板一块直流稳压电源一台双踪示波器一台数字万用表一块主要元器件同步加法计数器74LS161、74LS00、74LS02、导线等(EEL—69模拟、数字电子技术实验箱上有喇叭、三极管以及芯片的插座;集成运算放大器实验插板上有不同参数值的电阻和电容,可任意选用)(4)设计报告要求①写明设计题目、设计任务、设计环境以及所需的设备元器件。
②绘制经过实验验证、完善后的电路原理图。
③编写设计说明、使用说明与设计小结。
4位数字密码锁的设计
![4位数字密码锁的设计](https://img.taocdn.com/s3/m/e51875701ed9ad51f01df240.png)
电子技术综合课程设计报告设计题目:用与非门设计一个4位代码的数字锁专业班级: --------- 学生姓名: ---学生学号: ----指导教师: -设计时间: 2016-6-20 ∽ 7-11、课程设计任务、要求:设计一个保险箱用4位代码数字锁,如果输入代码与设定的代码(1101)相同,则保险箱被打开,绿色指示灯亮,错误则红色指示灯亮并发出声音报警。
2、课程设计目的、意义:1.通过课程设计巩固、深化和扩展理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
2.运用所学数字电路技术的知识设计一个4位数字的代码锁,并能够通过计算,得到该电路需要的元器件型号和参数值大小;3.学习并使用仿真软件 protues 经行模拟仿真,熟悉元件库和各种仪器的使用,作图并进行调试,能够最终模拟出最符合要求的设计图。
4.让我们能够通过运用所学知识设计电路来模拟出实际生活中的一些常见的器件,将知识和运用联系起来。
5.可以培养并锻炼我们的动手实践的能力、查阅手机资料的能力、独立思考分析解决问题的能力以及团结合作的能力,以便在以后的学习工作和生活中,能够更好地分析解决真实遇到的问题。
3、设计题方案比较、论证方案一:用4个异或门连接输入端,并分别于反相器连接,再相与。
当输入密码与设置密码相同时,电路输出为高电平,发光二极管不亮,当输入密码与设置密码不相同时,电路输出为低电平,发出报警,发光二极管亮。
其电路图如图3.1图3.1 方案一的电路图方案二:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、D四个输入端的电平的高低,进而控制输出电平的高低以及报警信号的工作。
当输入端与设置的密码相符时,则输出为高电平,二极管亮,否则输出为低电平,并且发出报警,即蜂鸣器发出响声,至此完成电路的设计。
其电路图如图3.2如图3.2 方案二的电路图方案比较论证:由于第一个方案中利用RV2、R5、C4、PNP型三极管、R6使第一块芯片的电压控制得到连续变化的电压,进而使第二块芯片产生多变的频率;虽符合题目的要求,但所用器件以及电路图相比方案一复杂,所以选择淘汰。
保险箱用的4位数字代码锁设计
![保险箱用的4位数字代码锁设计](https://img.taocdn.com/s3/m/f10d7d47f56527d3240c844769eae009591ba245.png)
保险箱用的4位数字代码锁设计一、设计背景随着社会的发展和技术的进步,人们的财物变得越来越多,越来越重要,为了保护这些财物的安全,人们使用的保险箱也越来越多。
为了方便使用,现在的保险箱大多采用数字代码锁,取代了传统的机械锁。
而数字代码锁的设计,也需要考虑到用户的习惯和安全性。
数字代码锁通常采用4位数设计,为了防止被人猜测或暴力破解,需要对编码方式、设置限制等方面进行合理的设计。
二、设计目标本次设计的4位数字代码锁,需要满足以下目标:1. 安全性高:难以被猜测或暴力破解。
2. 设置简单:容易设置,不需要过多的操作步骤。
3. 用户友好:操作简单,容易上手,使用自然无阻挡。
4. 系统稳定:长期使用不会出现故障。
三、设计思路1. 编码方式本设计采用随机编码方式。
即用户每次设置密码时,系统会生成一个随机编码,将其与用户设置的密码组合成新密码。
这样,即使在密码泄漏的情况下,攻击者也需要知道随机编码才能解锁,增强了密码的安全性。
2. 重试限制为了防止恶意攻击或密码泄漏,在输入密码错误次数过多的情况下,系统将自动锁死,需要等待一段时间后再次尝试。
同时,每次输入错误密码时,保险箱内部还将发出一定的警报声,提醒用户密码输入错误。
3. 系统稳定性在保险箱内部设有备用电源,在断电或其他异常情况下,可保证储物箱内部的物品安全。
并且,在系统出现故障时,保险箱内部还装有报警装置,及时提醒用户进行维修或更换。
四、具体实现3. 用户友好保险箱门面板内设有显示屏和按键,用户可以通过按键输入密码,显示屏显示当前的输入状态和密码错误次数。
同时,保险箱内还设有照明灯,方便用户在夜间使用。
五、总结本次设计的4位数字密码锁,采用了随机编码、重试限制、用户友好、系统稳定等多种设计思路,旨在为用户提供更安全、更方便、更稳定的保险箱使用体验。
四位密码锁电路课程设计报告
![四位密码锁电路课程设计报告](https://img.taocdn.com/s3/m/1d1e5a77b207e87101f69e3143323968011cf423.png)
四位密码锁电路课程设计报告密码锁是现代社会中非常普遍的安全保障设备,它可以通过输入正确的密码来解除锁定。
在本次课程设计中,我们将使用数字电子技术设计一个四位密码锁电路。
二、设计原理密码锁电路的设计可以分为四个部分:输入模块、比较模块、控制模块和显示模块。
输入模块:密码锁的输入模块通常采用矩阵键盘。
我们将使用一个4x4的矩阵键盘,其中每个按键都有一个唯一的行列值。
比较模块:比较模块的作用是将输入的密码与预设的密码进行比较,只有当两者相同时,密码锁才能解锁。
在本次课程设计中,我们将使用74LS181芯片来实现比较模块。
控制模块:控制模块的作用是控制电路的工作状态,包括判断是否解锁、控制锁的状态等。
我们将使用AT89C51单片机作为控制模块。
显示模块:显示模块的作用是显示当前密码锁的状态,包括输入的数字以及是否解锁。
在本次课程设计中,我们将使用数码管作为显示模块。
三、硬件设计1. 矩阵键盘矩阵键盘使用横排4个针脚和竖排4个针脚,共计8个针脚。
我们将针脚分别接到P0口和P2口,并使用4个输出口和4个输入口来扫描键盘。
2. 74LS181芯片74LS181芯片是一种4位全加器,可以将两个4位二进制数进行加减运算,并输出运算结果。
在本次课程设计中,我们将使用74LS181芯片来实现比较模块。
3. AT89C51单片机AT89C51单片机是一款8位CMOS微控制器,具有丰富的接口功能。
在本次课程设计中,我们将使用AT89C51单片机作为控制模块。
4. 数码管数码管是一种数字显示器件,可以显示0~9的数字。
在本次课程设计中,我们将使用共阳数码管,将其8个针脚分别接到P1口和P3口。
四、软件设计1. 锁定状态当密码锁处于锁定状态时,数码管显示“0000”,这表示当前密码尚未输入。
当用户按下一个按键时,单片机将扫描键盘输入并将其保存到一个缓冲区中,之后再将其显示在数码管上。
2. 输入状态当用户输入了四个数字后,单片机将把缓冲区中的数字与预设的密码进行比较。
4位数字密码锁的设计讲解
![4位数字密码锁的设计讲解](https://img.taocdn.com/s3/m/3528f38c195f312b3169a58b.png)
电子技术综合课程设计报告设计题目:用与非门设计一个4位代码的数字锁专业班级: --------- 学生姓名: ---学生学号: ----指导教师: -设计时间: 2016-6-20 ∽ 7-11、课程设计任务、要求:设计一个保险箱用4位代码数字锁,如果输入代码与设定的代码(1101)相同,则保险箱被打开,绿色指示灯亮,错误则红色指示灯亮并发出声音报警。
2、课程设计目的、意义:1.通过课程设计巩固、深化和扩展理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
2.运用所学数字电路技术的知识设计一个4位数字的代码锁,并能够通过计算,得到该电路需要的元器件型号和参数值大小;3.学习并使用仿真软件 protues 经行模拟仿真,熟悉元件库和各种仪器的使用,作图并进行调试,能够最终模拟出最符合要求的设计图。
4.让我们能够通过运用所学知识设计电路来模拟出实际生活中的一些常见的器件,将知识和运用联系起来。
5.可以培养并锻炼我们的动手实践的能力、查阅手机资料的能力、独立思考分析解决问题的能力以及团结合作的能力,以便在以后的学习工作和生活中,能够更好地分析解决真实遇到的问题。
3、设计题方案比较、论证方案一:用4个异或门连接输入端,并分别于反相器连接,再相与。
当输入密码与设置密码相同时,电路输出为高电平,发光二极管不亮,当输入密码与设置密码不相同时,电路输出为低电平,发出报警,发光二极管亮。
其电路图如图3.1图3.1 方案一的电路图方案二:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、D四个输入端的电平的高低,进而控制输出电平的高低以及报警信号的工作。
当输入端与设置的密码相符时,则输出为高电平,二极管亮,否则输出为低电平,并且发出报警,即蜂鸣器发出响声,至此完成电路的设计。
其电路图如图3.2如图3.2 方案二的电路图方案比较论证:由于第一个方案中利用RV2、R5、C4、PNP型三极管、R6使第一块芯片的电压控制得到连续变化的电压,进而使第二块芯片产生多变的频率;虽符合题目的要求,但所用器件以及电路图相比方案一复杂,所以选择淘汰。
四位数字密码锁课程设计
![四位数字密码锁课程设计](https://img.taocdn.com/s3/m/d198c28dbceb19e8b8f6ba5f.png)
74LS147D
真值表:
输出 1 2 3 4 7 8 9 ▁ ▁ ▁ ▁ D C B A 1 1 1 1 1 1 1 1 1 1 1 1 1 ※ ※ ※ ※ ※ ※ ※ ※ 0 0 1 1 0 ※ ※ ※ ※ ※ ※ ※ 0 0 1 1 1 ※ ※ ※ ※ ※ ※ 0 1 1 1 0 0 0 ※ ※ ※ ※ ※ 0 1 1 1 1 0 0 1 ※ ※ ※ ※ 0 1 1 1 1 1 0 1 0 ※ ※ ※ 0 1 1 1 1 1 1 0 1 1 ※ ※ 0 1 1 1 1 1 1 1 1 0 0 ※ 0 1 1 1 1 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 74LS147 优先编码器有 9 个输入端和 4 个输出端。某个输入端为 0,代表输入 某一个十进制数。当 9 个输入端全为 1 时,代表输入的是十进制数 0。4 个输出 端反映输入十进制数的 BCD 码编码输出。 74LS147 优先编码器的输入端和输出端都是低电平有效,即当某一个输入端低电 平 0 时,4 个输出端就以低电平 0 的输出其对应的 8421 BCD 编码。当 9 个输入 全为 1 时,4 个输入出也全为 1,代表输入十进制数 0 的 8421 BCD 编码输出。
此图为存储电路的一块芯片,共有 8 块 74LS194 用来存储。
3.密码输入控制电路
如仿真图,左面为加法计数器,中间为 74LS138 作为选片储存功能。开关是控制 电路的设置密码和输入密码功能的,右面总共有八个 74LS194,分为两组(现为 方便,简略了) 。74LS138 的 G1 端输入为 1,实现数据选择功能。当开关拨到上 方时, 74LS138 的输入端 C 端就会置 0, 这时候实现密码输入功能, 此时 74LS138 的输出端只在 Y0 到 Y3 之间工作。当开关闭合时,74LS138 输出端在 Y4 到 Y7 工
四位密码锁的PLC控制设计【开题报告】
![四位密码锁的PLC控制设计【开题报告】](https://img.taocdn.com/s3/m/0db2cdc6cc22bcd126ff0ca4.png)
毕业设计开题报告电气工程及其自动化四位密码锁的PLC控制设计一、综述本课题国内外研究动态,说明选题的依据和意义本课题国内外研究动态:在工业生产过程中,大量的开关量顺序控制,它按照逻辑条件进行顺序动作,并按照逻辑关系进行连锁保护动作的控制,及大量离散量的数据采集。
传统上,这些功能是通过气动或电气控制系统来实现的。
1968年美国GM(通用汽车)公司提出取代继电气控制装置的要求,第二年,美国数字设备公司(DEC)研制出了基于集成电路和电子技术的控制装置,首次采用程序化的手段应用于电气控制,这就是第一代可编程序控制器,称Programmab了它在现代工业中的地位。
20世纪80年代初,可编程控制器在先进工业国家中已获得广泛应用。
这个时期可编程控制器发展的特点是大规模、高速度、高性能、产品系列化。
这个阶段的另一个特点是世界上生产可编程控制器的国家日益增多,产量日益上升。
这标志着可编程控制器已步入成熟阶段。
上世纪80年代至90年代中期,是PLC发展最快的时期,年增长率一直保持为30~40%。
在这时期,PLC在处理模拟量能力、数字运算能力、人机接口能力和网络能力得到大幅度提高,PLC逐渐进入过程控制领域,在某些应用上取代了在过程控制领域处于统治地位的DCS系统。
20世纪末期,可编程控制器的发展特点是更加适应于现代工业的需le 是世界上公认的第一台PLC。
限于当时的元器件条件及计算机发展水平,早期的PLC主要由分立元件和中小规模集成电路组成,可以完成简单的逻辑控制及定时、计数功能。
20世纪70年代初出现了微处理器。
人们很快将其引入可编程控制器,使PLC增加了运算、数据传送及处理等功能,完成了真正具有计算机特征的工业控制装置。
为了方便熟悉继电器、接触器系统的工程技术人员使用,可编程控制器采用和继电器电路图类似的梯形图作为主要编程语言,并将参加运算及处理的计算机存储元件都以继电器命名。
此时的PLC为微机技术和继电器常规控制概念相结合的产物。
数字电子密码锁课程设计
![数字电子密码锁课程设计](https://img.taocdn.com/s3/m/2f4ec28ab0717fd5360cdcd9.png)
课程题目:电子密码锁一、实验内容设计一个简单的数字电子密码锁,密码为4 位。
用数据开关K1~K10分别代表数字1,2,3....9,0.输入的密码用数码显示,,最后输入的密码显示右边,即每输入一位数,密码在数码管显示左移一位.同时可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的为上补充"0".用一位输出电平的状态代表所得开闭状态.为保证密码的主人能打开密码锁,设置一个万能密码.具体要求如下:1、密码输入:每按下一个键,要求在数码管上显示,并依次左移;2、密码删除:每删除一位,数码管上的显示右移一位,在左边空出的位补充零;3、密码设置:当四位密码输入完毕,按下设置功能键进行设置.4、密码检验:输入密码,按下检验键.二、实验原理在本实验中采用的是VHDL编程,通过文本编辑方式建立模块,通过原理图方式将生成的图形符号连接,然后再下载,进行硬件的仿真。
为达到密码锁的以上功能,可将电子密码锁分为以下几个模块进行设计。
(1)输入与显示按键输入电路如图1所示。
图1—开关编码电路其中n[9..0]为按键输入端口, sout[3..0]为输出端口。
按键输入编码通过案件输入高低电平,每按一个键通过编码显示对应的数字。
数字的显示部分主要利用9个高低电平输入和74194双向移位寄存器以及7447七段显示译码器。
74194的S1和S2均接高电平,使得74194处于并行输入状态。
9个高低电平输入分别代表0~9:(2)密码锁控制电路密码控制电路如图2所示。
图2—密码锁控制电路其中set为密码设置端口, check为密码检验端口, close为关锁端口, back 为删除密码端口, clk时钟输入端口, dn[3..]为数字输入端口,sout[15..0]为16位输出端口,lock密码锁状态显示端口。
在此电路中每输一位数,密码在数码管上左移一位。
摄制删除密码back,每按下一次back,删除最后输入的数字,左边空处补0。
4位数字密码锁的设计
![4位数字密码锁的设计](https://img.taocdn.com/s3/m/2265cad2534de518964bcf84b9d528ea81c72fa1.png)
1技术指标用与非门设计一个4位或多位代码的数字锁,要求如下:A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号:B:进行电路仿真,并说明其工作原理。
2方案比较方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、D四个输入端的电平的高低,进而控制输出电平的高低以及报警信号的工作。
当输入端与设置的密码相符时,则输出为高电平,二极管亮,否则输出为低电平,并且发出报警,即蜂鸣器发出响声,至此完成电路的设计。
其电路图如图2.1方案二:用4个异或门连接输入端,并分别于反相器连接,再相与。
当输入密码与设置密码相同时,电路输出为高电平,发光二极管不亮,当输入密码与设置密码不相同时,电路输出为低电平,发出报警,发光二极管亮。
其电路图如图6.13Proteus软件介绍Proteus软件是来自英国Labcenterelectronics公司的EDA工具软件。
Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是ProteusLite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。
Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。
四位电子密码锁
![四位电子密码锁](https://img.taocdn.com/s3/m/249fbc7d7fd5360cba1adb4f.png)
沈阳课程设计(说明书)四位电子密码锁的设计班级/ 学号学生姓名指导教师课程设计任务书课程名称数字逻辑课程设计课程设计题目四位电子密码的设计课程设计的内容及要求:一、设计说明与技术指标设计一个四位电子密码锁电路,技术指标如下:①通过键盘电路输入四位密码。
初始密码0000设定。
②密码输入正确后,锁打开。
在锁打开时,才可修改密码。
③密码输入错误有适当的提示。
三次错误后,具有声、光报警功能。
二、设计要求1.在选择器件时,应考虑成本。
2.根据技术指标,通过分析计算确定电路和元器件参数。
3.画出电路原理图(元器件标准化,电路图规范化)。
三、实验要求1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。
2.进行实验数据处理和分析。
四、推荐参考资料1. 彭介华.电子技术课程设计指导[M].北京:高等教育出版社2. 孙梅生,李美莺,徐振英. 电子技术基础课程设计[M]. 北京:高等教育出版社3. 梁宗善. 电子技术基础课程设计[M]. 武汉:华中理工大学出版社4. 张玉璞,李庆常. 电子技术课程设计[M]. 北京:北京理工大学出版社5. 谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社五、按照要求撰写课程设计报告成绩指导教师日期一、概述随着人们生活水平的提高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,因此电子密码锁在实现家庭防盗这一问题也变得尤其的突出,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。
这种锁是通过键盘输入一组密码来达到开锁的目的。
本课程设计一个电子密码锁,要求是设定4位的密码锁,输入4位输入密码,然后与已设置好的密码相比较,密码输入正确后即可开锁,开锁后才可执行密码修改的功能,如果输入三次错误密码就不断报警(包括声音和光两种报警方式)。
二、方案论证设计一个四位电子密码锁,通过输入四位密码,并且一位一位的进行比较,能够在输入正确的密码后打开锁,并且只有在打开锁之后判断是否修改密码,如果输入密码错误,则记录一次输入错误信息,如果输入三次错误密码,则有声音(蜂鸣)或者光(灯亮)报警。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA 课程设计报告书课题名称 四位数字密码锁的设计 姓 名学 号 院 系 专 业 指导教师年 月 日※※※※※※※※※ ※※※※ ※※※※※※※※※※※级学生EDA 课程设计设计任务及要求:(1)设计任务:本课程设计要求设计的数字密码锁密码为4位,由密码锁输入电路、码锁控制电路、密码锁处理电路、显示电路四大部分组成,将各电路组合起来,构成了一个完整的电子密码锁。
(2)设计要求:①、密码输入:每按一个数字键,就输入一个数值,且将在显示器上的最右上方显示出该数字,并将先前已经输入的数据依序左移一位。
②、数码清除:按下此键可以清除前面所有的输入值,清除成为“0000”。
③、密码修改:按下此键时将目前的数字设定成新的密码。
④、激活电锁:按下此键可将密码锁上锁,红色LED灯将闪烁一次。
⑤、解除电锁:按下此键会检查输入的密码是否正确,若绿色LED亮则表示密码正确,密码锁将解锁。
指导教师签名:年月日二、指导教师评语:指导教师签名:年月日三、成绩验收盖章年月日四位数字密码锁的设计1 设计目的随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的喜爱,电子密码锁的使用也体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。
2 设计的主要内容和要求设计一个简单的数字电子密码锁,密码为 4 位。
要求具备如下功能:(1)如果输入数字键,第一个数字会从数码管的最右端开始显示,此后每按下一个数字键,数码管上的数字必须往左移动一格,以便将新的数字显示出来。
(2)本密码锁为四位密码锁,当输入的数字超过四个时,不会显示第四个以后的数字。
(3)按下密码清零键,清除所有输入的数字,清除成为“0000”,即做归零动作。
(4)按下解锁键,检查输入的密码是否正确,若解锁指示灯(绿色LED灯)闪烁一次,即表示密码正确(开锁)。
(5)按下改密键,将当前输入的数字设置成新密码,且上锁指示灯(红色LED 灯)闪烁一次,即密码锁已上锁。
3 整体设计方案本系统采用模块化的设计,整个系统分为数字按键输入、时钟输入、功能按键输入、数字译码块、功能译码模块、核心处理模块、输出处理模块、显示译码电路八个模块。
整体电路如图3.1所示。
图3.1 数字密码锁总方框图4 硬件电路的设计4位数字密码锁包括五个基本逻辑模块,分别为:数字按键输入模块(numinput )、功能按键输入模块(funcinput )、核心处理模块(core )、输出处理模块(allout )、七段译码器模块(dataout )。
4.1 数字按键输入--numinput说明:读取数字键0~9。
按键为矩阵形式,高电平表示按键未按下,低电平表示按键按下。
经数字按键输入模块处理后输出4位二进制代码,“0000”~“1001”分别表示0~9,用“1010”表示其他无效输入。
如表4.1所示。
表4.1 数字按键输入模块(numinput )的数据输入输出按键数字 按键扫描输出 Numinput 二进制输出对应十进制数字0 11011110 0000 0 1 01111101 0001 1 2 01111110 0010 2 3 10110111 0011 3 41011101101004数字按键输时钟模块功能按键输数字译码模块功能译码模块核心处理模块 输出处理模块 显示译码电路5 10111101 0101 56 10111110 0110 67 11010111 0111 78 11011011 1000 89 11011101 1001 9其他按键其他1010 10(1)numinput--数字按键输入模块程序:library ieee;use ieee.std_logic_1164.all;entity numinput isport(numin :IN std_logic_vector(7 downto 0);numstate,clk :IN std_logic;numout :OUT std_logic_vector(3 downto 0));end numinput;architecture one of numinput issignal state :std_logic;signal mem :std_logic_vector(7 downto 0);beginprocess(clk)beginif clk'event and clk='1' thenif state/=numstate thenif mem/=numin thencase numin iswhen "11011110" => numout<="0000";---"0"when "01111101" => numout<="0001";---"1"when "01111110" => numout<="0010";---"2"when "10110111" => numout<="0011";---"3"when "10111011" => numout<="0100";---"4"when "10111101" => numout<="0101";---"5"when "10111110" => numout<="0110";---"6"when "11010111" => numout<="0111";---"7"when "11011011" => numout<="1000";---"8"when "11011101" => numout<="1001";---"9"when others => numout<="1010";end case;state<=numstate;else numout<="1010";end if;mem<=numin;end if;end if;end process;end one;(2)数字按键输入模块仿真图:图4.1 数字按键输入模块仿真图由图可知,当数字按键输入模块的输入依次为"11011110"、"01111101"、"01111110"、"10110111"、"10111011"、"10111101"、"10111110"、"11010111"、"11011011"、"11011101"时,numout输出依次输出“0000”、“0001”、“0010”、“0011”、“0100”、“0101”、“0110”、“0111”、“1000”、“1001”;当为其他按键输入时,numout输出均为“1010”。
(3)数字按键输入--numinput符号文件:图4.2 数字按键输入--numinput符号文件4.2 功能按键输入模块 -- funcinput读取矩阵按键区控制功能按键-—清除键、改密键、上锁键、解锁键。
高电平表示按键未按下,低电平表示按键按下。
按照“清除,改密,上锁,解锁”顺序读取按键时,只能输出一位控制信号。
输出的信号为3位二进制代码,“001”~“100”,依次表示“清除按键、改密按键、上锁,解锁”,用“000”表示输入不为功能按键信号。
表4.2 控制功能按键输入模块(funcinput)的输出输入数据功能按键功能按键扫描输出Funcinput二进制输出对应十进制数字清除键11100111001 1改密键11101101010 2上锁键11101110011 3解锁键11101011100 4其他按键其他000 0(1)Funcinput--功能按键输入模块程序:library ieee;use ieee.std_logic_1164.all;entity funcinput isport( funcin: IN std_logic_vector(7 downto 0);constate,clk: IN std_logic;conout:OUT std_logic_vector(2 downto 0));end funcinput;architecture one of funcinput issignal state: std_logic;signal mem: std_logic_vector(7 downto 0); beginprocess(clk)beginif clk'event and clk='1' thenif constate/=state thenstate<=constate;if mem/=funcin thenwith funcin selectconout<="001" when "11100111" , --清除键"010" when "11101101",--改密键"011" when "11101110", --上锁键"100" when "11101011" , --解锁键"000" when others;mem<=funcin;else conout<="000";end if;end if;end if;end process;end one;(2)功能按键输入模块仿真图:图4.3 功能按键输入模块仿真图由图可知:当功能按键输入模块的输入依次为“11100111”、“11101101”、“11101110”、“11101011”时,conout输出依次为“001”、“010”、“011”、“100”,当为其他按键输入时,conout输出均为“000”。