一个十字路口的交通灯控制系统设计报告

合集下载

交通灯设计方案

交通灯设计方案

3、交通灯控制模块
交通灯控制模块
主路红绿灯控制模块 支路红绿灯控制模块
LED显示 数码管显示
主要用状态机编写,主要是绿—>黄—>红—>绿……之间的状态转换, 并且通过倒计时将时间和信号指示分别通过数码管和LED灯显示出来
4、LED设计
1Hz时钟 模块
主路/支路红 绿灯控制模

绿灯指示 LED1/LED3 黄灯灯指示 LED1+LED2/LED3+LED4闪烁
一、功能分析
1、功能要求
作为一个十字路口交通信号灯控制系统,每条道路都需要有一组红、绿、 黄灯和倒计时计数器,用于指挥车辆的有序通行。为便于区分,将十字路口 交通信号灯分为主路a和支路b,应具有以下功能:
(1) 主路a和支路b各设置两组(双向)红灯、绿灯、黄灯,以指示通行状态: 同时还设置数字式的时间显示,以倒计时方式显示每一路允许通行或禁止通 行的剩余时间。
5、数码管设计
主路/支路红 绿灯控制模

倒计时显示
8段数码
1KHz扫描 模块
动态扫描
输出四个数码管,每条线路两个数码管显示。亮红灯时数码管显示29到0,亮黄灯时,数码管显示04 到00;亮绿灯时,数码管显示24到00。数码管上的数字每过1秒变一次(count位1s的计时器)。
三、注意事项
1、数码管计数范围和不同LED灯点亮要一一对应,变化时不能有时差; 2、两组数码管不宜分开写,因为数码管行扫描输出接口只有一组,分开写接口 不好设置; 3、数码管计数时,时间要控制好,每秒计数减一。
(2) 具有复位功能,当出现故障时,可复位回到初始设置状态。 (3) 当主路a或支路b出现紧急情况时,按紧急情况键可进入紧急情况状态, 各方向(两路)均亮红灯。当特殊情况结束时,控制其恢复到电路的原来状态继 续运行。

交通灯控制电路的设计(实验报告)

交通灯控制电路的设计(实验报告)

交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。

2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。

对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。

二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。

它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。

秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。

定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。

定时时间到,Ty=1,否则,Ty=0。

St:表示定时器到了规定的时间后,由控制器发出状态转换信号。

它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。

2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。

表示甲车道上的车辆允许通行,乙车道禁止通行。

绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。

(2)乙车道黄灯亮乙车道红灯亮。

表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。

黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。

(3)甲车道红灯亮乙车道绿灯亮。

表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。

(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。

(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。

(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。

二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。

频率设定CLK1k对应的频率为50MHZ。

2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。

3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。

4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。

三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。

四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。

PLC课程设计十字路口交通灯

PLC课程设计十字路口交通灯

01
信号灯:包括红、黄、绿三种颜色的信号灯,用于 指示车辆和行人的通行状态
02
控制器:用于控制信号灯的显示状态,包括定时控 制和交通流量控制
03
传感器:用于检测车辆和行人的通行状态,包括红 外传感器、雷达传感器等
04
通信系统:用于传输交通灯系统的控制信息和状态 信息,包括有线通信和无线通信
05
供电系统:为交通灯系统提供稳定的电源,包括市 电供电和太阳能供电
优化硬件:提高处 理器性能,增加内 存容量,提高网络 带宽
优化软件:减少系 统开销,优化代码 结构,提高系统响 应速度
优化系统结构:采 用分布式系统,提 高系统的可扩展性 和可靠性
PART SIX
案例背景:某城市十字路口交 通灯控制系统
成功案例:采用PLC技术实现 交通灯智能控制
案例分析:PLC技术在交通灯 控制系统中的应用优势
性能优化:优化PLC程序,提 高系统的响应速度和稳定性
安全优化:确保系统的安全性, 防止误操作和故障导致的事故
PART FIVE
测试方法:通过模拟不同交通 状况,观察交通灯系统的反应 速度和准确性
测试环境:模拟十字路口交 通环境,包括车辆、行人等
测试指标:包括响应时间、 准确性、稳定性等
测试工具:使用专业测试软件 和设备,如交通模拟软件、传
优势:PLC具有稳定性高、可靠性强、易于维护等特点,适合用于交通灯控制系统。 优势:PLC可以通过编程实现各种复杂的交通灯控制逻辑,满足不同交通状况的需求。 局限性:PLC的响应速度相对较慢,可能无法满足一些高实时性要求的交通灯控制系统。 局限性:PLC的编程和调试相对复杂,需要专业的技术人员进行操作。
PLC在交通灯系统中的应用可以提高交通灯系统的稳定性和可靠性,降低维护成本。

基于PLC十字路口交通灯的控制系统的设计

基于PLC十字路口交通灯的控制系统的设计

基于PLC十字路口交通灯的控制系统的设计智能化交通管理的新篇章随着城市化进程的加快,交通拥堵问题日益严重,给人们的出行带来了极大的不便。

为了解决这一问题,基于PLC(可编程逻辑控制器)的十字路口交通灯控制系统应运而生。

本文将详细介绍基于PLC十字路口交通灯控制系统的设计原理、方法和实际应用,以期为智能化交通管理提供有益的参考。

首先,我们需要了解PLC的基本概念。

PLC是一种可编程逻辑控制器,具有高度可靠性、灵活性和可扩展性。

它可以根据用户的编程逻辑对输入信号进行处理,并输出控制信号,实现对设备的自动控制。

在十字路口交通灯控制系统中,PLC可以实现对交通灯的精确控制,提高交通流的效率。

基于PLC十字路口交通灯控制系统的设计主要包括以下几个方面:1. 系统硬件设计:硬件设计是PLC控制系统的基础。

在硬件设计中,需要选择合适的PLC型号、输入输出模块、电源模块等,以满足系统的功能和性能要求。

此外,还需要考虑系统的抗干扰能力,确保在复杂的电磁环境中稳定工作。

2. 系统软件设计:软件设计是PLC控制系统的核心。

在软件设计中,需要编写PLC的梯形图程序,实现对交通灯的控制逻辑。

梯形图程序应能够根据输入信号的变化,自动调整交通灯的亮灭状态,实现交通流的优化。

3. 系统集成与调试:系统集成是将PLC控制系统与其他交通设施(如交通信号灯、摄像头等)相结合的过程。

在系统集成中,需要确保PLC控制系统与其他设施的正常通信和数据交换。

调试则是确保PLC控制系统按照预期工作,包括功能测试、性能测试等。

在实际应用中,基于PLC十字路口交通灯控制系统具有以下优势:1. 高度可靠性:PLC具有高度可靠性,能够在恶劣的环境下稳定工作,确保交通灯控制系统的正常运行。

2. 灵活性:PLC控制系统易于编程和修改,可以根据实际交通需求调整交通灯的控制策略。

3. 可扩展性:PLC控制系统具有良好的可扩展性,可以随时增加或减少控制功能,适应不断变化的交通需求。

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统设计报告设计目标:1.安全性:确保交通流畅且安全,减少交通事故的发生。

2.效率性:提高交通流量,减少交通拥堵。

3.能源效率性:最大限度地利用交通信号灯的能源,降低能源的浪费。

设计原则:1.灵活性:能够根据交通流量和实时情况调整信号灯的时序。

2.自动化:通过传感器和算法实现自动控制,减少人为干预的依赖。

3.可扩展性:能够方便地增加或减少交叉口的信号灯控制单元。

4.可靠性:确保系统能够长时间稳定运行,减少故障发生的可能性。

5.经济性:设计成本较低,并考虑到未来维护和更新的成本。

系统设计:1.传感器:安装在交叉口附近的传感器,如压力传感器和红外线传感器,用于检测交通流量和车辆的位置。

2.控制单元:使用微控制器或PLC作为交通灯控制单元,接收传感器的数据,并根据预设的算法调整信号灯的时序。

3.信号灯:交叉口设置适当数量的红绿灯,通过控制单元来切换信号灯的状态。

4.网络连接:将交叉口的控制单元连接到互联网,以实现远程监控和管理。

工作原理:1.传感器检测到交通流量和车辆位置的变化。

2.传感器将数据传输给控制单元。

3.控制单元根据预设的算法分析传感器数据,确定相应的时序。

4.控制单元根据时序控制信号灯的状态,并将控制信号发送给信号灯。

5.信号灯根据控制单元的信号进行状态转换。

6.控制单元可通过网络连接进行远程监控和管理,以便及时调整交通流量控制。

总结:一个十字路口的交通灯控制系统需要从安全性、效率性和能源效率性等角度来设计。

通过传感器和控制单元实现自动控制,确保交通流畅且安全,并降低能源浪费。

系统设计需要考虑灵活性、自动化、可扩展性、可靠性和经济性等原则,并通过网络连接实现远程监控和管理。

交通灯报告

交通灯报告

课程设计设计题目:十字路口自动红绿灯指挥系统2014年7月9日目录一、设计内容 (1)(一)设计题目 (1)(二)主要指标及要求 (1)二、设计方案 (1)三、方案选择及电路工作原理 (1)(一)工作原理分析 (1)(二)单元模块设计及分析 (1)1.时钟信号脉冲发生器设计 (2)2.倒计时计数器设计 (3)3.状态计数器设计 (6)4.数据选择器设计 (8)5.延时电路设计 (10)6.红绿黄灯的设计 (11)四、仿真及结果 (12)(一)仿真过程分析 (12)(二)仿真结果 (13)五、总电路图 (13)六、元器件清单(表) (14)七、实验结果 (14)八、心得体会 (14)九、参考文献 (15)一、设计内容(一)设计题目十字路口自动红绿灯指挥系统。

设计一个十字路口交通信号灯控制器,用于控制交通主干道的交通灯和计时器,自动指挥干道车辆和行人轮流通行,保证车辆和行人安全通行。

(二)主要指标及要求1.自动完成绿-黄-红-绿-……工作循环;2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环;3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机;4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。

二、设计方案三、方案选择及电路工作原理(一)工作原理分析红绿黄灯工作分三个阶段,即有三个状态T0、T1、T2。

在状态T0停留15秒,此间红灯亮,然后转至状态T1。

在状态T1停留20秒,此间绿灯亮。

20秒后转至T2,在状态T2下,黄灯亮5秒,5秒后返回状态T0。

交通灯控制系统由时钟信号脉冲发生器、倒计时计数器、状态计数器、数据选择器以及延时电路组成。

状态计数器可以输出3个状态信号:T0、T1和T2,编码分别为00、01、10,由QBQA 表示。

三个状态信号分别控制红绿黄三种颜色的灯。

三个状态信号通过控制数据选择器,控制每个状态的不同计数。

倒计时计数器的DCBA 与数据选择器输出相连,A B C D Q Q Q Q 与数码管相连,实现倒计时显示功能。

十字路口交通灯课程设计报告

十字路口交通灯课程设计报告

目录一、设计任务与要求 (2)1.1.设计任务 (2)1.2.设计要求 (2)二、单片机硬件资源 (2)2.1.AT89C51单片机 (2)2.2. 交通灯中的中断处理流程 (6)2.3.系统硬件总电路构成及原理 (7)2.4.设计思路 (7)2.5. 电源提供方案 (7)2.6.显示界面方案 (8)2.7. 输入方案 (8)2.8.单片机交通控制系统的通行方案设计 (8)2.9.单片机交通控制系统的功能要求 (10)2.10.单片机交通控制系统的基本构成及原理 (11)三、电路设计 (11)3.1电路构成 (12)3.2系统工作原理 (12)3.3.其它硬件介绍及连接 (13)四、软件设计及分析 (15)4.1.程序主体设计流程 (15)4.2.理论基础知识 (16)4.3. 程序源代码 (17)五、总结 (22)交通灯控制设计一、设计任务与要求1.1.设计任务十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。

那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。

交通信号灯控制方式很多,要选择最有效,最准确,最方便的控制方式。

1.2.设计要求(1)东西方向绿灯亮,南北方向红灯亮,时间20 S(2)东西方向与南北方向黄灯亮,时间5 S(3)南北方向绿灯亮,东西方向红灯亮,时间20 S(4)如果发生紧急事件,可以手动控制四个方向红灯全亮(5)时间显示至数码管或LCD二、单片机硬件资源2.1.AT89C51单片机AT89C51是一种带4K字节闪存可编程可擦除只读存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。

AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。

单片机的可擦除只读存储器可以反复擦除1000次。

该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。

交通信号灯控制系统设计实验报告

交通信号灯控制系统设计实验报告

十字路口交通信号灯控制系统设计专业:应用电子技术班级:09应电五班*名:**0906020129*名:***0906020115指导教师:***2011.6.11目录摘要…………………………………………………….……….3.一、绪论 (4)二、PLC 的概述 (5)2.1、概述 (5)2.2、PLC的特点 (5)2.3、PLC的功能 (5)三、交通灯控制系统设计 (6)3.1、控制要求 (6)3.2、交通灯示意图 (6)3.3、交通灯时序图 (7)3.4、交通灯流程图 (7)3.5、I/0口分配 (8)3.6、定时器在1个循环中的明细表 (8)3.7、程序梯形图 (10)四、设计总结 (12)参考文献 (12)摘要PLC可编程控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。

它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。

据统计,可编程控制器是工业自动化装置中应用最多的一种设备。

专家认为,可编程控制器将成为今后工业控制的主要手段之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。

由于PLC具有对使用环境适应性强的特性,同时具内部定时器资源十分丰富,可对目前普通的使用的“渐进式”信号灯进行精确的控制,特别对多岔路口的控制可方便的实现。

因此现在越来越多的将PLC应用于交通灯系统中。

同时,PLC本身还具有通讯联网的功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。

一、绪论当今,红绿灯安装在各个道口上,已经成为疏导交通车俩最常见和最有效的手段。

但这一技术在19世纪就已经出现。

1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。

这是世界上最早的交通信号灯。

1868年英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前得广场上,安装了世界上最早的煤气红绿灯。

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统设计报告设计报告
一、设计目的
设计每个方向的交通灯控制系统,以解决车辆拥堵的问题,并尽可能
减少事故的发生。

二、原理和要求
1.交通灯控制系统的目标是调整车辆的流量,从而避免拥堵和事故
的发生。

2.根据路口的布局,设计一个控制系统,使各方向的车辆可以有序
通过路口。

3.控制系统需要包括时间策略、车辆流量控制以及实时变更等组件。

4.控制系统的运行稳定性,准确性,可靠性等特性也是需要考虑的。

三、相关技术
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
对灯光变化的时间策略进行设计。

2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置数据,进行实时的车辆流量控制。

3.实时变更:采用实时数据采集技术,监视路口的变化,对路口的
灯光策略进行实时变更,以保证路口的安全性和流量的正常状态。

四、系统设计
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
设计灯光变化的时间策略,实现路口灯的有序变化,调控车辆的通行流量。

2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置信息。

十字路口交通灯控制系统设计报告

十字路口交通灯控制系统设计报告

课程设计设计名称十字路口交通灯控制系统学年学期2015-2016第二学期课程名称单片机原理及应用专业年级姓名学号提交日期成绩指导教师水利与建筑工程学院十字路口交通灯控制系统摘要:交通的发达,标志着城市的发达,相对交通的管理则显得越来越重要。

交通灯是城市交通中的重要指挥系统,它与人们日常生活密切相关.随着人们生活水平的提高,对交通管制也提出了更高的要求,因此提供一个可靠、安全、便捷的多功能交通灯控制系统有着现实的必要性。

对于复杂的城市交通系统,为了确保安全,保证正常的交通秩序,十字路口的信号控制必需按照一定的规律变化,以便于车辆行人能顺利地通过十字路口。

考虑到单片机具有物美价廉、功能强、使用方便灵活、可靠性高等特点,拟采用MCS - 51系列的单片机来实现十字路口交通信号灯的控制。

正常情况下,十字路口的红绿灯应交替变换,考虑紧急情况下,如有救护车或警车到来时,应优先让其通过。

另外,单片机课程设计是我们的必修课程。

通过交通灯模拟系统的设计可以进一步认识单片机在控制系统中的重要性。

在完成理论学习和必要的实验后,掌握了单片机的基本原理和各种基本功能的应用,但对单片机的硬件实际应用设计和单片机完整的用户程序设计还不清楚,实际动手能力不够,因此对该课程进行一次课程设计是有必要的。

交通灯模拟系统的课程设计既让学生巩固了课本学到的理论,还让学生学习了单片机硬件电路设计和用户程序设计的整个过程,同时学习了查阅资料、参考资料的方法。

单片机的课程设计主要是通过学生独立设计方案并自己编写和调试用户程序,来加深对单片机的认识,充分发挥创新能力。

关键词:交通灯、8051单片机、中断、扩展ROM第一章设计目的及要求1.1设计目的单片机原理及应用课程设计是一次重要的综合性实践活动。

该课程设计的主要目的是通过实践活动,巩固和加深《单片机原理及应用》课程中所学的理论知识,提高实验动手能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计水平,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。

交通灯设计实验报告

交通灯设计实验报告
(八)参考文献
《电子技术实践及仿真》孙丽霞主编
《数字电子计数基础》周良权主编
0
1
0
0
0
0
1
3
1
1
1
0
0
0
1
0
0
0
0
1
4
1
1
1
1
0
0
1
0
0
0
0
1
5
1
1
1
1
1
0
0
1
0
0
0
1
6
1
1
1
1
1
1
0
0
1
1
0
0
7
0
1
1
1
1
1
0
0
1
1
0
0
8
0
0
1
1
1
1
0
0
1
1
0
0
9
0
0
0
1
1
1
0
0
1
1
0
0
10
0
0
0
0
1
1
0
0
1
1
0
0
根据状态表,不难列出东西方向和南北方向绿,黄,红灯的逻辑表达式:
东西方向绿灯:EWG=Q4Q5
图3
B.控制器电路
本实验选用8位寄存器74LS164组成扭环行十二进制计数器。扭环型十二进制计数器的模块电路图4示:
表5扭环形十二进制计数器的状态表
t
计数器输出
南北方向
东西方向
Q0

十字路口交通灯控制器的设计(20页)

十字路口交通灯控制器的设计(20页)

较易交通灯控制器的实现
• OO • O O
o 妓0,
o 支干軋
j*r*.
ooe e
OQ*
•0
o
主千軋
廉打亮+
0 O«
o • OO O
e
輔b
O O

O
買灯冕
ooq


王干i
0
0 霣汀見*
OOO
为/让大家更易理解,我 们 先来看看十字路口简单 控 制器显示。右图为两交 通 灯的运行状态,东西、 南 北方向的不同状态组合
显示模块的VHOL程序,和黄 灯闪烁。
复杂十字路口交通灯控制器要比简单交通灯控制 器增加 一些功能,如倒计时时间显示,左转弯
(左拐)、指示灯闪烁及特殊紧急情况的处理等。 下面 以下面的十字路口交通灯系统为例,来说明 上述功能的 实现:
交通灯运行图表情况
东西方向 南北方向
S(0) S(l) S(2) S(3) S(4) S(5
LVTTL LVTTL
p roc e ss (clk,i:e
ieee.std_logic_unsigned.all;
set) begin
use woik.bintoBCD.all;
it ueset二'I' then
cnt<=(others=>'0);
entity jtd2 is
elsit clk'event and clk=’r
緑 s 黄左 黄 红 黄 灯 灯拐 灯 灯 灯 4 I灯 1 1 1
5 51 5 4 5 S5 s 0 s 5
(
s \) s (9 \17
z
)o
6 s( s( s

交通灯课程设计报告(必备5篇)

交通灯课程设计报告(必备5篇)

交通灯课程设计报告篇1正常红绿灯运行分有四个模式1.南北方向绿灯通行,东西方向红灯2.南北方向黄灯通行,东西方向红灯3.东西方向绿灯通行,南北方向红灯4.东西方向黄灯通行,南北方向红灯5.执行第一步交通灯课程设计报告篇2本设计主要是介绍了单片机控制下的交通灯控制系统,详细介绍了其硬件和软件设计,并对其各功能模块做了详细介绍,其主要功能和指标如下:东西、南北两干道交于十字路口,各干道有一组红、绿、黄三个指示灯,指挥车辆和行人安全通行。

南北方向为主干道,通行时间为12秒;东西方向为支干道,通行时间为9秒。

通行时间最后3秒,绿灯灭,黄灯闪烁,黄灯闪烁完毕变更通行车道。

通行时间由数字显示器显示。

交通灯课程设计报告篇3状态1:南北方向绿灯通行12秒,东西红灯禁止通行15秒,分别倒计时;状态2:南北方向黄灯提醒3秒,东西继续红灯倒计时;状态3:东西方向绿灯通行9秒,南北方向禁止通行12秒;状态4:东西方向黄灯提醒3秒,南北继续红灯倒计时;状态5:执行状态1,反复循环交通灯课程设计报告篇4记住这个点就可以设计软件了。

首先要有时间基础,倒计时从哪来呢?1,延时通过死循环卡主软件的运行来达到延时效果,程序执行效率极低,不可取。

2,定时通过定时器产生时基。

软件设置50ms产生一次定时中断,在中断执行函数中做计数。

50ms执行一次中断函数,通过one_sec_flag累加到20判断时间过去了一秒。

设置一秒标志位scan_flag置一。

在主函数while循环里判断标志位,如果是1,则倒计时计数值减一,即完成了倒计时的软件设计思路交通灯课程设计报告篇5随着时代的进步和发展,单片机技术已经普及到我们生活、工作、科研、各个领域,已经成为一种比较成熟的技术。

本交通灯控制系统利用单片机AT89C51作为核心元件,实现了通过信号灯对路面状况的智能控制。

从一定程度上解决了交通路口堵塞、车辆停车等待时间不合理、急车强通等问题。

系统具有结构简单、可靠性高、成本低、实时性好、安装维护方便等优点,有广泛的应用前景。

十字路口交通灯控制设计_霓虹灯控制设计(PLC设计课件)

十字路口交通灯控制设计_霓虹灯控制设计(PLC设计课件)
四、梯形图设计---接通延时定时器
并联 , 同时开始 计时
任务2 霓虹灯控制设计
四、梯形图设计---接通延时定时器
任务2 霓虹灯控制设计
四、梯形图设计---接通延时定时器
任务2 霓虹灯控制设计
四、梯形图设计---接通延时定时器
霓虹灯控制设计-实训报告
一、实训目的
任务2 霓虹灯控制设计
1.掌握霓虹灯显示的时序。 2.熟悉 TIA 软件的基本使用方法。 3.进一步巩固对常规指令的正确理解和使用。 4.根据实训设备,熟练掌握 PLC 的外围 I/O 设备接线方法。 。
项目三 十字路口交通灯控制设计
任务2
霓 虹设计灯准控备制 设 计
IO分配
二、硬件电路I/O分配
任务2 霓虹灯控制设计
设备 输入 输出
符号 SB1 SB2 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15
功能 启动按钮(常开触点) 停止按钮(常开触点)
霓 虹设计灯准控备制 设 计
实训台接线
任务2 霓虹灯控制设计
P L C 实 训 台
任务2 霓虹灯控制设计
输 入 部 分
任务2 霓虹灯控制设计
输 入 接 线
任务2 霓虹灯控制设计
输 出 部 分
任务2 霓虹灯控制设计
输 出 接 线
项目三 十字路口交通灯控制设计
任务2
霓 虹设计灯准控备制 设 计
A灯 B灯 C灯 D灯 E灯 F灯 G灯 H灯 I灯 J灯 K灯 L灯 M灯 N灯 O灯
地址 I0.0 I0.1 Q0.0 Q0.1 Q0.2 Q0.3 Q0.4 Q0.5 Q0.6 Q0.7 Q1.0 Q1.1 Q1.2 Q1.3 Q1.4 Q1.5 Q1.6

十字路口交通灯的设计plc课程设计报告

十字路口交通灯的设计plc课程设计报告

课程设计任务书1.设计目的:通过课程设计掌握PLC程序的设计方法。

2.设计要求:通过对设计题目的分析,设计出合理的PLC程序,并通过实验设备将其实现。

3.设计题目:(必选题目)1.十字路口交通灯的设计,按下图设计出十字路口交通灯控制程序。

时系统停止运行,并能够重复使用。

2.复杂抢答器的设计有三组参赛者参加智力抢答,赛场设有主持人所在的总台和参赛者所在的分台,总台设有台灯及总台音响,分台设有分台台灯及分台抢答按钮。

要求:(1)抢答在主持人给出题目宣布开始并按下开始按钮的10秒内进行,如果参赛者提前抢答,总台及分台灯亮,总台音响发声,表示“违例”。

(2)如果抢答开始后10s内无人抢答,总台灯亮同时总台音响发声表示抢答时间到,该题作废。

(3)正常抢答时,分台灯亮,总台音响发声。

抢得者答题时必须在20秒内答完,20秒到时,总台灯亮同时总台音响发声表示答题超时。

(4)一个题目终了时,按下总台复位按钮,抢答器恢复原始状态,为下一轮抢答做准备。

(注:总台音响发声时间为1秒,不持续发声)(可选题目)1.音乐喷水池的设计本题目主要利用PLC模拟完成音乐喷水池的喷水过程。

(具体图例参考实验台实验模块图形)控制要求:(1)按下启动按钮,主电机Q0.0上电音乐控制上电开始工作(2)主电机工作500ms后停止工作,Q0.1-Q0.7开始间隔500ms轮流工作(3)当Q0.0至Q0.7一个循环后同时一起输出1秒后停止1秒再同时输出。

(4)当完成一个大循环以后回到单独主电机Q0.0上电,开始新的循环。

要求利用PLC完成整套的控制系统的设计。

2.洗衣机自动控制(具体图例参考实验台实验模块图形)本实验利用5个灯来演示系统的工作状态。

其中L3、L4表示电磁阀,L0、L1、L2表示电机。

控制要求:(1)首先按下启动键,系统开始运行;进水阀L3灯亮,水注入洗衣机。

(2)按下上限开关,进水阀关闭,L3灯灭。

洗衣机桶转动开始洗衣,L0、L1间隔两秒交替闪烁,表示洗衣桶左、右转动。

十字路口的红绿黄三色信号交通灯控制电路设计书

十字路口的红绿黄三色信号交通灯控制电路设计书

十字路口的红绿黄三色信号交通灯控制电路设计书1任务设计书1.1设计任务目的及要求1. 1. 1.设计目的设计一个十字路口的红、绿、黄三色信号交通灯控制电路。

1. 1. 2设计要求(1) 用红、绿、黄三色发光二极管作信号灯。

主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。

红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。

(2) 由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。

当主干道允许通行亮绿灯时,支干道亮红灯。

而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。

主干道每次放行60秒,支干道每次放行0秒。

在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。

(3) 能实现总体清零功能。

按下清零键后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。

1.2 设计方案及工作原理1.2.1设计方案:方案:用5G555定时器来构成秒信号产生器,J-K触发器74LS112作状态控制器,74168用于提供置数60秒、40秒、5秒,而74245芯片的选通信号由状态控制器的不同控制信号来进行控制。

主干道绿灯持续1分钟,支干道绿灯持续40秒,黄灯持续5秒。

定时译码显示系统必须有一个能自动按不同定时时间来定时的定时器,以便完成这3种不同的持续定时时间。

为此,用两片74LS168级联构成2位2位十进制可预置减法计数器,时间状态由两片74LS48和两只74LS48和两只LED数码管对减法计数器进行译码显示。

预置到减法计数器的定时器的常数通过3片8路双向三态门74LS245来完成。

1.2.2秒脉冲产生电路:产生秒脉冲的电路有多种形式。

图中是用5G555定时器构成的占空比Q=2/3的多谐振荡器。

根据占空比表达式可知:Q=(R1+R2)/(R1+2R2)=2/3得到R1=R2,又由振荡周期表达式可知:T=(R1+2R2)Cln2=1取C=10uF,可得:3R1Cln2=1因此 R1=R2=48k所以选用两只47k与一只1k 电位器串联就得到如图(1-1)所示电路:图1-11.2.3主控制器模块主控制原理如图(1-2)所示东西方向显示器南北方向显示器图(1-2)r(1) 工作状态模块根据设计要求,可以得到十字路口交通灯顺序工作的进程图,依次为S0、S1、S2、S3,可用JK触发器74LS112改成四进制计数器作为主控制器。

十字路口交通灯设计报告

十字路口交通灯设计报告
图表1
三、当南北方向倒计时为零时黄灯亮,提醒南北方向的停车,此时东西方向的为红灯,倒计时还有5秒,此时南北方向倒计时5秒,四个方向的时间同步进行倒计时,直到为零,显示如下图。
四、当南北方向倒计时为零时,南北方向红灯亮,计时时间为30秒,东西方向绿灯亮,计时时间为25秒,显示如下图。
五、东西方向倒计时25秒为零后,东西方向黄灯亮提醒停车,此时南北方向的计时还剩5秒,东西方向计时5秒,此时四个方向的时间又达到了同步,显示如下图。
十字路口交通灯控制系统
队员:邱靖 021040211
张林立
李全杰
摘要:本系统主要采用stc89c52为主控芯片,通过红、黄、绿三种发光二级管和LED数码管显示,实现十字路口交通控制的作用。
关键字:STC89C52 发光二级管 数码管
设计方案:
根据题目的要求,系统主要实现的功能是:
本系统设计模块为:
本系统设计的原理图:
dxw2=0;
P3=table2[ge];
delay(1);
dxw2=1;
}
void nb_disply(uchar shi , uchar ge) //南北方向数码管显示
{
nbw1=0;
P1=table2[shi];
delay(1);
nbw1=1;
nbw2=0;
P1=table2[ge];
delay(1);
}
void main(void)
{
ding0_init();
P2=table1[0];
while(1)
{
t_fenjie();
dx_disply( t1_shi , t1_ge);
nb_disply( t2_shi , t2_ge);
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一个十字路口的交通灯控制系统
一、设计内容和要求:
利用AT89C51单片机设计一十字路口交通灯控制系统。

1:系统主要由单片机、数码管、交通灯组成。

2:具有人行道和基本的交通灯的功能。

3:具有数码管倒计时功能。

4:要求东西和南北车道的车辆交替运行,每次通行为25秒。

5:要求黄灯先亮3秒,才能变换车道,黄灯亮时要求闪亮。

6:有紧急情况的处理办法(如急救车来时)。

二、总体方案设计
本设计研究的是基于AT89C51单片机的交通灯智能控制系统。

根据交通控制系统的设计原理,阐述了硬件和软件方面开发的整个过程。

主控系统采用AT89C51单片机作为控制器,控制通行倒计时及直行、行人的通行。

并设计了有紧急情况(如急救车到来)时的处理办法。

三、系统的硬件设计
(1)本系统选用通过P0到P3口用做输出显示控制口。

P0口用作输出南北方向LED数码管字符编码,P2口用作输出东西方向LED数码
管字符编码。

—口用于位选及输出南北方向发光二极管。

和用作南
北方向人行道的控制灯。

和用作东西方向人行道的控制灯。

—口用
于位选及输出东西方向发光二极管。

和用作外部中断。

(2)LED数码管采用动态显示方式实现倒计时读秒,并且本系统采用的是LED的共阳极接法。

(3)LED动态显示,在多位LED显示时,为了简化电路,降低成本,将段选位并联在一起,由一个八位的I/O口控制,而位选由另一个口
控制,段选码、位选码每次送入后演示1ms,而人的视觉暂留时
间为,所以在人看来数码管一直亮着,从而在应用中通过动态扫描
的方法显示。

四、设计原理分析
(1)从十字路口交通灯示意图分析可知:东西、南北方向信号灯控制是中心对称的,即无论是主干道还是支干道两侧系统对同方向的信
号灯控制是同步的。

(2)从示意图分析可知,人行道各个方向,系统对两侧的信号灯的控制也是同步的。

(3)人行道和信号灯的显示不是一致的,人应该和车一起走,但应该比车先停下来。

五、原理图(部分引脚未画)
六、流程分析图
假设交通灯交换的周期为60秒,则各个方向交通灯在时间轴上的交换情况如下表所示。

七、流程图
正常情况下交通灯的控制流程图
特殊情况下交通灯的控制流程图
八、实验程序
#include""
#define uchar unsigned char
#define uint unsigned int
uchar code b[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; uint a;
sbit P30=;
sbit P31=;
sbit P10=;
sbit P11=;
void main()
{uint i;
P30=1;P31=1;P10=1;P11=1;
P0=b[8];P2=b[8];P1=OX2B;P3=0XA3;
void delay(void)
{unsigned char e,f,g;
for(g=252;g>0;g--)
for(f=230;f>0;f--)
for(e=33;e>0;e--);
nop; //初始化,数码管显示88并延时4s while(1)
{for(i=250;i>0;i--)
{calc();}JS();}}
void delayms(uint m)
{uchar i,j;
for(i=m;i>0;i--)
for(j=110;j>0;j--);
}
void calc()
{ P30=1;
P10=1;
P0=b[3];P2=b[3];
delayms(10);
P30=0;P31=1;
P10=0;P11=1
P0=b[9];P2=b[9];
delayms(10);
=1;=1;P3=0;
Delay28s;}
void JS()
{a++;
if(a==10) a=0;}
九、调试运行
1、按照硬件图接线,确保东西南北方向红黄绿灯以及数码管能够对应显示。

2、将程序拷进单片机并安装在实验板上,接上电源,打开开关,观察六个LED灯是否与交通显示情况对应,如果有偏差,则单步运行,进行调试,直至满足设计要求。

3、整体运行,观察灯显示和时间显示是否都符合要求,如果不符合,则再调试。

直至满足要求。

相关文档
最新文档