555信号发生器课程设计

555信号发生器课程设计
555信号发生器课程设计

西北农林科技大学电子技术课程设计

课题名称

班级

姓名

学号

电话

指导教师

日期6月17日—6月28日

目录

第一章、设计任务及要求.......................................................................................................... - 1 - 第二章、信号发生器设计方案.................................................................................................. - 1 -

2.1 总体设计方案论证及选择:....................................................................................... - 1 -

2.2函数信号发生器总体方案框图.................................................................................... - 1 - 第三章、单元电路原理与电路.................................................................................................. - 2 -

3.1方波发生电路................................................................................................................ - 2 -

3.1.1方案选择............................................................................................................. - 2 -

3.2方波——三角波转换电路原理图................................................................................ - 4 -

3.3三角波——正弦波转换电路原理图............................................................................ - 5 - 第四章电路的安装与调试........................................................................................................ - 8 - 第五章设计总结...................................................................................................................... - 12 -

5.1经验:.......................................................................................................................... - 12 -

5.2不足:.......................................................................................................................... - 12 -

5.3感想:.......................................................................................................................... - 12 - 附录 ........................................................................................................................................... - 12 - 元件清单列表.................................................................................................................... - 12 - 参考文献.................................................................................................................................... - 13 - 鸣谢 ........................................................................................................................................... - 13 -

第一章、设计任务及要求

设计要求:用555定时器设计一个信号发生器,要求输出方波、三角波、正

弦波并,设计输出电压及频率

第二章、信号发生器设计方案

2.1 总体设计方案论证及选择:

方案一:通过RC震荡电路产生正弦波,然后经过过零比较器,产生三角波,在通过积分电路产生方波。其中,RC震荡电路为RC桥式正弦振荡电路,然后通过放大器构成过零比较器来实现方波的转换,在通过反向积分电路来实现方波到三角波的转化。

方案二:可以由晶体管、运放IC等通用器件制作,更多的则是用专门的函

数信号发生器IC产生。早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的

信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。

方案三:可以按照方波——三角波——正弦波的顺序来设计电路,其中,方波可以通过模电中的方波发生电路来产生,也可以通过数电中的555多谐振荡电路来产生,方波到三角波为积分的过程,三角波到正弦波可以通过低通滤波来实现,也可以利用差分放大器的传输非线性来实现或者通过折现法来实现。

可行性分析:

纵观以上N种方案,对比如下,本着自己动手的观念,首先排除第二种用集成芯片的方法,因为这种方法对设计的要求太低;其次分析方案一可得其RC桥式正弦震荡电路的占空比受R和C共同影响,调节频率时需要调节的元器件参数太多,比较繁琐,并且此震荡电路的频率也不是很好的满足设计的要求。所以综上所述,选择方案三来实现本次的课程设计:555多谐振荡器的频率很好计算和调节,并且输出的波形比较准确;波到三角波的转化可通过简单RC积分电路来实现;角波到正弦波可通过简单RC低通滤波器来实现也可通过折现法或者差分法来实现。分析方案得:各个不分的实现有多种办法,但也许理论上比较好的方法在实践中由于环境的种种原因可能并不是最好的,所以最终的方案的细节有待在试验仿真中作进一步的确定。

2.2函数信号发生器总体方案框图

首先,将555定时器接成多谐震荡电路,多谐震荡电路的输出便是方波,接着接一个RC积分电路,从而产生三角波,最后接一个无源低通滤波器,从而产生正弦波。如框图2.2-1所示。

图2.2-1

第三章、单元电路原理与电路

3.1方波发生电路 3.1.1方案选择

方案一:占空比可调的矩形波放声,电路占空比的改变方法:使电容的正向和反向充电时间常数不同。利用二极管的单向导电性可以引导电流流经不同的通路。

方案二:改进型555多谐振荡器电路,接法:先将555定时器接成施密特触发器,再将输出经RC 积分电路接回输入端即可。

对比如上两个方案,方案一的频率性较差,并且输出电压受到稳压二极管的影响,输出电压幅值不能改变;而方案二频率调节理与方案一很是相似,但是方案二的频率表达式比较简洁,容易计算,而且方案二的输出电压幅值的改变可通过对555定时器的供电的改变来实现,对于占空比,已对原始的多谐振荡器做了些许改动,能达到1/2的要求。综上,选择方案二。

555定时器的工作原理:555定时器是一种功能强大的模拟数字混合集成电路,其组成电路框图如图22.32所示。555定时器有二个比较器A1和A2,有一个RS 触发器,R 和S 高电平有效。三极管VT1对清零起跟随作用,起缓冲作用。三极管VT2是放电管,将对外电路的元件提供放电通路。比较器的输入端有一个由三个5kW 电阻组成的分压器,由此可以获得 和 两个分压值,一般称为阈值。555定时器的1脚是接地端GND ,2脚是低触发端TL ,3脚是输出端OUT ,4脚是清除端Rd ,5脚是电压控制端CV ,6脚是高触发端TH ,7脚是放电端DIS ,8脚是电源端VCC 。555引脚如图3.1-1,引脚功能如表3.1-1。

表3.1-1

图3.1-1

方波

三角波 正弦波

555定时器功能如表3.1-2,用555定时器接成多谐震荡电路如图3.1-2。

表3.1-2 555定时器功能表

清零端高触发端地触发端Q 放电管功能

0 ××0 导通直接清零

1 0 1 ×保持保持

1 1 0 1 截止置1

1 0 0 1 截止置1

1 1 1 0 导通清零

图3.1-2

参数计算:

改进型多谐振荡电路主要改进了电容充电和放电的回路,使得回路的时间常数相同即可,再此引入二极管来分开充电和放电回路。

高电平,充电时间

R

R

R

C

=

=(公式3-1)

+

R

T+

3

(7.0

)5

1

2

ln

(

1C

3

)5

低电平,放电时间

R

C

R

R

=

1

=(公式3-2)

+

R

T+

2

2C

)5

2

(7.0

)5

(

ln

2

占空比

R

=R

+

R

=

q(公式3-3)

+

T

T

T

R

)5

)5

/(

2

5.0

3

(

1=

/(

1

)2

+

方波周期

T+

T

R

+

=

)1

=(公式3-4)

+

R

T

3

2

R

)5

((

2

7.0

2

1C

振荡频率

T

R

R

f+

+

=(公式3-5)

R

=

)5

2

2

/1C

3

1

.1

44

/((

经过计算,对应到电路的仿真图,选取C6为10uF,R4 = R5 =47K欧姆。当RV1=1K 欧姆最大时,频率即为1HZ(如图3.3-5)。

3.2方波——三角波转换电路原理图

由积分电路构成方波—三角波产生电路,方波经反向积分电路积得到三角波。

方案一:简单的积分电路(由电阻和电容构成)。

方案二:带有放大器的积分电路(由放大器和电阻电容构成)。

对比如上

两个方案,在方波的频率改变的情况下,都需改变充电电容,因为方波频率变大时,要求积分时间短,即电容的容量要小,以达到快速充电的要求,否则波形失真;当方波频率变小时,要求积分时间要长,这时增大电容的容量,否则将产生梯形式的方波。两个方案相对没有理论上的优劣,现选择方案一。

原理:输出信号与输入信号的积分成正比的电路,称为积分电路。

电路结构如图,积分电路可将矩形脉冲波转换为锯齿波或三角波,还可将锯齿波转换为抛物波。电路原理很简单,都是基于电容的冲放电原理,这里要提的是电路的时间常数R*C,构成积分电路的条件是电路的时间常数必须要大于或等于10倍于输入波形的宽度。

3.3三角波——正弦波转换电路原理图

由三角波转换为正弦波有三种方案,分别是采用低通滤波电路、利用差分放大电路的传输曲线、采用折线法选择,具体实现如下:

方案一:低通滤波电路(通过简单RC电路来实现),如图3.3-1。

图3.3-1

方案二:利用差分放大电路的传输曲线(差分放大器的非线性传输曲线)。如图3.3-2。

图3.3-2

方案三:通过折线法来实现。如图3.3-3。

图3.3-3

对比如上方案:

方案一利用低通滤波器将三角波变换成正弦波,将三角波按傅里叶级数展开

)5sin 25

1

3sin 91(sin 8

)(2???-+-=

wt wt wt U wt u m π 其中Um 是三角波的幅值。根据上式可知,低通滤波器的通带截止频率应大于三角波的基波频率且小于三角波的三次谐波频率。当然,也可以利用带通滤波器实现上述变换; 方案二利用差分放大器的非线性传输曲线来实现,具体原理如图3.3-4所示:

图3.3-4

方案三的电路连接比较复杂,而且需要的元器件也比较多,调试也比较不方便。

综上所述,及根据试验的结果来看,方案二和方案三的结果波形并不理想,而且调节繁琐,故选择方案一来实现三角波到正弦波的转换。总电路图如图3.3-5。

图3.3-5

参数计算:当RV1=1K欧姆时,

计算值: f=1HZ

UA(max)=4.8V (方波)

UB(max)=3.6V (三角波)

UC(max)=3.6V (正弦波)

实测值:

f=1HZ

UA(max)=5V (方波)

UB(max)=3.8V (三角波)

UC(max)=3.6V (正弦波)

调节RV1可改变相关参数大小,对比计算值与实测值发现,实验存在一定误差,但结果与理论相符。

第四章电路的安装与调试

在绘制电路的原理图时,可采用了multisim和proteus软件,我分别采用了multisim和proteus软件,但结果采的是proteus的实验结果,multisim仿真原理图见图4-1,proteus仿真原理图见图4-2。

图4-1

图4-2

Proteus仿真及调试过程:首先设置好实验相关参数,接着画原理图,最后运行(用示波器观察波形)。一开始运行的时候示波器上并未出现预期的波形,经反复检查后发现电容C6并未与电路连接上,只是单纯地摆放了上去。再将电容C6与电路连接上后,示波器上出现了不规则的波形。接下来便是反复地修改参数。最后根据波形选择了合理的参数。波形如图4-3所示。

图4-3

Altium designer制板过程:首先新建工程(在工程下建原理图和PCB图),接着画原理图,最后是绘制PCB板。该过程的主要问题是PCB板的绘制。其中连线比较复杂,同一层的线不能交叉,因此需要在适当的时候打孔将两层的线连接起来。自动布置的线很乱并且有尖角效应,因此最好己动手布置。第一次我把线连接完后,老师问我引出端在哪,结果我把引出端放到了板子的中间。于是我又重新摆放了一下器件,这一次子线又乱了,又得重连,接着我又重新开始连线。连完线后就开始铺铜,铺完铜后我又给板子相应的地方加上泪滴。在这之后板子就做好了。该过程的相关图片PCB原理图如图4-4、连线图如图4-5、板子如图4-6、4-7。

图4-4

图4-5

图4-6 板子顶层

图4-7 板子底层

第五章设计总结

5.1经验:

信号发生器是本次课程设计的比较简单的一个题目,经过两周的设计,我基本完成了本课题所需要求。本设计最大的特色就是信号发生流程相对简单明了,易于理解。但是,它的难度:电路对各元件的参数选择要求比较高,调整波形相对而言不方便。因此最好根据波形来调节参数。调节参数的时候先调节一个值,把其它值固定,在调节这个值的时候可以先调成几个等间距的值,根据波形大致确定范围,然后再微调。另外在制板子的时应该把器件摆放好再连线,先整体布局一下再开始连,有必要的时候通过打孔将两层的线连接起来。

5.2不足:

本次实验虽然简单,但是走了不少弯路。在用proteus仿真的时候由于粗心没有把器件与线路连接好,以为是原理图有问题,于是就不停地修改原理图,浪费了很多时间。在制板的时候由于一开始没有把器件摆放好,重连了很多次线。

5.3感想:

鉴于这次我选的课题比较简单,设计的过程不是很复杂,可能我学到的东西没有其他同学多(接触的元器件很少,具体的操作也涉及得很少)。但是我还是很开心,从原理图的绘制到最终的pcb板的生成,都是自己一步一步做出来的,课程设计的整个过程,自己都做得比较认真。遇到问题也积极地问老师和同学,在这里很感谢三位老师的指导,要是没有老师的指导,估计自己也做不出来。这次课程设计收获了很多。其中一方面是专业相关的知识及动手能力。另一方面是发现问题并且解决问题的能力,自学能力等等。

最后,我感觉通过具体的实践,自己动手,深刻感知了实践的重要性,明白了理解和应用还是有所不同的,我们应该朝着更高的要求即灵活的应用去努力。附录

元件清单列表

序号名称型号参数数量备注

1 555定时器LS555ID 1

2 电阻—— 5

3 电容—— 5

4 滑动变阻器—— 1

参考文献

1.阎石?《数字电子技术基础(第五版)》?清华大学出版社?2006年5月?(ISBN 978-7-04-019383-1)

2.童诗白?《模拟电子技术基础(第四版)》?高等教育出版社?2006年5月?(ISBN 978-7-04-018922-3)

鸣谢

感谢杨蜀秦老师、胡瑾老师、候俊才老师的悉心指导,老师渊博的知识、严谨的

治学态度、敏锐的学术洞察力、活跃的思想、以及平易近人的师长风范,也使我

们受益匪浅。值此论文完成之际,谨向老师致以深深的敬意和衷心的感谢。特别

感谢我的指导老师杨蜀秦老师,即使很简单的问题,杨老师也很有耐心地、细心

地指导。同样感谢我的同学们,感谢你们的无私帮助。

555信号发生器

学年论文(课程论文、课程设计) 题目:函数信号发生器 小组成员: 所在学院:信息科学与工程学院 指导教师: 职称:讲师

2011 年12 月24 日

背景 函数信号发生器又称为信号源,在生产实践和科技领域中有着广泛的应用,能够产生多种波形,如三角波、方波、正弦波的电路被称为函数信号发生器。在通信、广播、电视系统,在工业、农业、生物医学等领域内,函数信号发生器在实验室和设备检测中具有十分广泛的应用。

方波——三角波——正弦波函数信号发生器 一、 设计要求 1. 设计、组装、调试方波、三角波、正弦波发生器 2. 输出波形:方波、三角波、正弦波 二、 设计方案 2.1实验原理 (1)方案一原理框图 图1—— 方波、三角波、正弦波信号发生器的原理框图 首先由555定时器组成的多谐振荡器产生方波,然后由积分电路将方波转化为三角波,最后用低通滤波器将方波转化为正弦波,该方案调试容易。 (2)方案二原理框图 图2—— 正弦波、方波、三角波信号发生器的原理框图

RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法,电路框图如上。先通过RC正弦波荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。此电路具有良好的正弦波和方波信号。但经过积分器电路产生的同步三角波信号,存在难度。原因是积分器电路的积分时间常数是不变的,而随着方波信号频率的改变,积分电路输出的三角波幅度同时改变。若要保持三角波幅度不变,需同时改变积分时间常数的大小。 2.2函数发生器的方案选择 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题未采用单片函数发生器模块8038。 方案一的电路结构、思路简单,运行时性能稳定且能较好的符合设计要求,且成本低廉、调整方便,关于输出正弦波波形的变形,可以通过可变电阻的调节来调整。而方案二,关于三角波的缺陷,不是能很好的处理,且波形质量不太理想,且频率调节不如方案一简单方便。综上所述,我们选择方案一。 2.3方波发生电路的工作原理和论证 图3——由555定时器组成的多谐振荡器 利用555与外围元件构成多谐振荡器,来产生方波的原理。

555定时器产生三种波形发生器

目录 摘要 (2) 第一章方案提出 (3) 第二章电路的基本组成及工作原理 (4) 第一节系统组成框图 (4) 第二节方波的产生 (5) 第三节由方波输出为三角波(利用积分器来实现) (7) 第四节由三角波输出正弦波 (9) 第三章 555定时器的介绍 (10) 第一节电路组成 (11) 第二节引脚的作用 (12) 第三节基本功能 (13) 第四章元件清单 (15) 第五章总结 (16) 附录及参考文献 (17) 第一节附录 (17) 一多谐振荡器——产生矩形脉冲波的自激振荡器 (17) 二电路原理图 (19) 第二节参考文献 (20)

摘要 各种电器设备要正常工作,常常需要各种波形信号的支持。电器设备中常用的信号有正弦波、矩形波、三角波和锯齿波等。在电器设备中,这些信号是由波形产生和变换电路来提供的。波形产生电路是一种不需外加激励信号就能将直流能源转化成具有一定频率、一定幅度和一定波形的交流能量输出电路,又称为振荡器或波形发生器。 在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 波形发生器通过与波形变换电路相结合,它能产生正弦波、矩形波、三角波和阶梯波等各种波形,能满足现代测量、通信、自动控制和热加工、音视频设备及数字系统等对各种信号源的需求。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器等。 关键字:方案确定、参数计算、信号、发生器等。

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

高频信号发生器的设计与制作

一、概要 在高等学校课程设计是一个重要的教学环节,它与实验、生产实习、业设计构成实践性教学体系。由此规定了课程设计的三个性质:一是教学,学生在教师指导下针对某一门课程学习工程设计; 二是实践性,课程设包括电路设计、印刷板设计、电路的组装和调试等实践内容; 三是群众性、主动性,课程设计以学生为主体,要求人人动手,教师只起引导作用,主任务由学生独立完成,学生的主观能动性对课程设计的完成起决定性作。学生较强的动手能力就是依靠实践性教学体系来培养的。 1.1 何谓课程设计 所谓课程设计就是大型实验,是具有独立制作和调试的设计性实验,其基本属性体现在工程设计上。但课程设计毕竟不同于一般实验。 首先是时间和规模不同,一般实验只有两学时,充其量为四学时;而课程设计一般为一~两周。实验所要达到的目的较小。通常只是为了验证某一种理论、掌握某一种参数的测量方法、学习某一种仪器的使用方法等等;而课程没计则是涉及一门课程甚至几门课程的综合运

用,所以课程设计是大型的。 其次,完成任务的独立性不同,一般实验学生采用教师事先安排好的实验板和仪器,实验指导书上详细地介绍了做什么和如何做,实验时还有教师现场指导,学生主要任务是搭接电路,用仪器观察现象和读取数据,因此实验是比较容易完成的;而课程设计不同,课程设计只给出所要设计的部件或整机的性能参数,由学生自己去设计电路、设计和制作印刷电路板,然后焊接和调试电路,以达到性能要求。 课程设计和毕业设计性质非常接近,毕业设计是系统的工程设计实践,而课程设计则是工程设计实践的初步训练,它为毕业设计打下一定基础。课程设计与毕业设计在规模上和要求上,大小高低不同,但它们都属于工程设计,因此工作步骤是类似的。 1.2 课程设计的目的要求 1 、课程设计的目的是帮助学生综合运用所学的理论知识,把一些单元电路有机地组合起来,组成小的系统,使学生建立系统的概念;并使学生巩固和加强已学理论知识。并掌握一般电子电路分析和设计的基本步骤。 2 、掌握常用元器件的检测、识别方法及常用电子仪器的正确使用方法。 3 、掌握印制板的制作流程以及protel 99 SE的使用等基本技能。 4 、培养一定的独立分析问题、解决问题的能力。对设计中遇到的问题能通过独立思考、查阅有关资料,寻找解决问题的途径;对调试中

555芯片设计占空比可调的方波信号发生器

占空比可调的方波信号发生器 三、实验原理: 1、555电路的工作原理 (1)555芯片引脚介绍 图1 555电路芯片结构和引脚图 555定时器是一种应用极为广泛的中规模集成电路,该电路使用灵活、方便,只需外接少量的阻容原件就可以构成单稳、多谐和施密特触发器。因而广泛用于信号的产生、变换、控制和检测。 1脚:外接电源负极或接地(GND)。 2脚:TR触发输入。 3脚:输出端(OUT或Vo)。 4脚:RD复位端,移步清零且低电平有效,当接低电平时,不管TR、TH输

入什么,电路总是输出“0”。要想使电路正常工作,则4脚应与电源相连。 5脚:控制电压端CO(或VC)。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 6脚:TH 高触发端(阈值输入)。 7脚:放电端。 8脚:外接电源VCC (VDD )。 (2)555功能介绍 555定时器的功能主要是由两个比较器C1和C2的工作状况决定的。由图1可知,当V6>VA 、V2>VB 时,比较器C1的输出VC1=0、比较器C2的输出VC2=1,基本RS 触发器被置0,TD 导通,同时VO 为低电平。 当V6VB 时,VC1=1、VC2=1,触发器的状态保持不变,因而TD 和输出的状态也维持不变。 当V6V A V B >V B 不变 导通

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

555定时器工作原理及应用引脚图 (2)

555定时器引脚图及其简单应用 本文主要介绍了555定时器的工作原理及其在单稳态触发器、多谐振荡器方面的应用。 关键词:数字——模拟混合集成电路;施密特触发器;波形的产生与交换 555芯片引脚图及引脚描述 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。 7脚称放电端,与3脚输出同步,输出电平一致,但7脚并不输出电流,所以3脚称为实高(或低)、7脚称为虚高。 1概述 1.1 555定时器的简介 555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到

555定时器构成的方波、三角波、正弦波发生器 设计报告

电子技术课程设计说明书 题目:555定时器构成得方波、三角波、正弦波发生器系部:歌尔科技学院 专业: 班级:2013级1班 学生姓名: 学号: 指导教师: 年月日

目录 1 设计任务与要求 (1) 2设计方案 (1) 2。1设计思路 (1) 2、1。1 方案一原理框图?1 2、1.2 方案二原理框图?2 2。2 函数发生器得选择方案?2 2、3 实验器材 (3) 3 硬件电路设计?4 3、1 555定时器得介绍............................................ 4 3。2 电路组成..................................................... 43。3 引脚得作用. (5) 3、4 基本功能?5 4 主要参数计算与分析 (7) 4。1 由555定时器产生方波?7 4、2 由方波输出为三角波 (9) 4。3 由三角波输出正弦波 (10) 5 软件设计 ......................................................... 12 5、1 系统组成框图?12 5。2元件清单................................................. 1314 6 调试过程? 6.1 方波—-—三角波发生电路得安装与调试 (14) 6。1。1按装方波——三角波产生电路?14 6、1。2调试方波——三角波产生电路 (14) 6.2 三角波-—-正弦波转换电路得安装与调试 (14) 6。2、1按装三角波——正弦波变换电路 (14) 6、2、2 调试三角波——正弦波变换电路?14 6、2。3总电路得安装与调试 ................................. 15 6。2、4调试中遇到得问题及解决得方法?15

高频课程设计_LC振荡器_克拉泼.(DOC)

高频电子线路课程设计报告设计题目:高频正弦信号发生器 2015年 1月 6 日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (6) 三、设计内容 (8) 3.1LC振荡器的基本工作原理 (8) 3.2克拉泼电路原理图 (9) 3.2.1振荡原理 (9) 3.3克拉泼振荡器仿真 (10) 3.4.1软件简介 (10) 3.4.2进行仿真 (10) 3.4.3电容参数改变对波形的影响 (11) 四、总结 (17) 五、主要参考文献 (18) 六、附录.................................................................................... .. (18)

一、设计任务与要求 为了熟悉《高频电子线路》课程中所学到的知识,在本课程设计中,我和队友(石鹏涛、甘文鹏)对LC正弦波振荡器进行了分析和研究。通过对几种常见的振荡器(电感反馈式三端振荡器、电容反馈式三端振荡器、改进型电容反馈式振荡器)进行分析论证,我们最终选择了克拉泼振荡器。 在本次课程设计中,设计要求产生10~20Mhz的振荡频率。振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我们选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 最后我们利用了仿真软件对电路进行了一写的仿真分析,如改变电容的参数,分析对电路产生的影响等,再考虑输出频率和振幅的稳定性,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 二:设计方案 通过学习高频电子线路的相关知识,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路)等。通过老师所讲和查阅相关资料可知,克拉泼振荡电路具有该电路频率稳定性非常高,振幅稳定,适合做波段振荡器等优点。所以在本设计中拟采用改进型电容反馈式--克拉泼电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器

555定时器芯片工作原理

555定时器芯片工作原理,功能及应用 -------------------------------------------------------------------------------- - 555定时器芯片工作原理,功能及应用 555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。 一、555定时器 555定时器产品有TTL型和CMOS型两类。TTL型产品型号的最后三位都是555,CMOS 型产品的最后四位都是7555,它们的逻辑功能和外部引线排列完全相同。 555定时器的电路如图9-28所示。它由三个阻值为5k?的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电晶体管T、与非门和反相器组成。 电压比较器的功能:比较两个电压的大小(用输出电压的高或低电平,表示两个输入电压的大小关系): 当”+”输入端电压高于”-”输入端时,电压比较器输出为高电平; 当”+”输入端电压低于”-”输入端时,电压比较器输出为低电平 图9-28 555定时器原理图 分压器为两个电压比较器C1、C2提供参考电压。如5端悬空,则比较器C1的参考电压为,加在同相端;C2的参考电压为,加在反相端。 是复位输入端。当=0时,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。正常工作时,=1。

u11和u12分别为6端和2端的输入电压。当u11>,u12> 时,C1输出为低电平,C2输出为高电平,即=0,=1,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。 当u11<,u12< 时,C1输出为高电平,C2输出为低电平,=1,=0,基本RS触发器被置1,晶体管T截止,输出端u0为高电平。 当u11<,u12> 时,基本RS触发器状态不变,电路亦保持原状态不变。 综上所述,可得555定时器功能如表9-13所示。 表9-13 555定时器功能表 输入输出 复位u11 u12 输出u0 晶体管T 0 ××0 导通 1 > > 0 导通 1 < < 1 截止 1 < > 保持保持 一、555定时器的应用 1.单稳态电路 前面介绍的双稳态触发器具有两个稳态的输出状态和,且两个状态始终相反。而单稳态触发器只有一个稳态状态。在未加触发信号之前,触发器处于稳定状态,经触发后,触发器由稳定状态翻转为暂稳状态,暂稳状态保持一段时间后,又会自动翻转回原来的稳定状态。单稳态触发器一般用于延时和脉冲整形电路。 单稳态触发器电路的构成形式很多。图9-29(a)所示为用555定时器构成的单稳态触发器,R、C为外接元件,触发脉冲u1由2端输入。5端不用时一般通过0.01uF电容接地,以防干扰。下面对照图9-29(b)进行分析。

电子测量综合实验报告555信号发生器

电子测量综合实验报告 555信号发生器 报告人: 学号: 专业: 指导老师: 2010年 12 月 10 日 目录 一、实验目的: 二、实验任务与要求: 三、设计方案论证: 四、整体电路设计和分析计算

五、电路仿真分析 六、电路安装与调试 七、实验结果和误差分析 八、实验总结 九、附录:元器件清单/程序清单 一、实验目的 1、将电子测量课程所学的测量原理、数据处理、误差分析等知识用于实践,学 以致用; 2、巩固模电、数电等课程知识将其用于整个综合实验的分析计算过程; 3、熟悉各测量仪表的使用,提高实际动手操作能力。 二、实验任务与要求 1、制成的555信号发生器能产生矩形波、三角波、正弦波三种波形; 2、该信号发生器频率和幅值可调; 3、各误差控制在合理范围内。 三、设计方案论证 1、实验方案 本信号发生器使用555芯片作为多谐振荡器产生矩形波,通过积分形成三角波,再经RC低通滤波形成正弦波。电路原理图如下 2、关于555芯片

上学期的数电课程就学习了555芯片。该芯片是模电和数电相结合的中规模集成电路,设计十分巧妙,广泛用于信号的产生、变换、控制与检测。在数电中我们学习了由其构成的施密特触发器、单稳态触发器、多谐振荡器。关于555芯片原理、多谐振荡器原理,数电课已学过,此处不再赘述。 四、 整体电路设计和分析计算 1、电路各部分功能分析 1、发光二极管VD 为电源指示灯; 2、C1为电源滤波电容; 3、C2为定时电容,C2的充电回路是R2→R3→RP →C2; 4、C2的放电回路是C2→RP →R3→555的7脚(通过放电三极管); 5、隔直电容,还可以隔离前后网络; 6、积分电容,将矩形波积分产生三角波; 7、低通滤波积分网络,滤除三角波中的高中频成分,并再次积分产生近 似正弦波; 2、理论分析计算 (1)电容C2充电所需的时间为: Tph=(R3+R2+RP)C2㏑2 电容C2放电所需的时间为: Tpl=(R3+RP)C2㏑2 占空比= 1Tph Tp Tph + 振荡频率111[22(3)]2ln 2f Tp Tph R R RP C ==+++ 其中电位器RP 阻值为0至47K Ω

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

(完整版)高频电子线路课程设计

课程设计 班级:电信12-1班 姓名:徐雷 学号:1206110123 指导教师:李铁 成绩: 电子与信息工程学院 信息与通信工程系

目录 摘要 (1) 引言 (2) 1. 概述 (3) 1.1 LC振荡器的基本工作原理 (3) 1.2 起振条件与平衡条件 (4) 1.2.1 起振条件 (4) 1.2.2平衡条件 (4) 1.2.3 稳定条件 (4) 2. 硬件设计 (5) 2.1 电感反馈三点式振荡器 (5) 2.2 电容反馈三点式振荡器 (6) 2.3改进型反馈振荡电路 (7) 2.4 西勒电路说明 (8) 2.5 西勒电路静态工作点设置 (9) 2.6 西勒电路参数设定 (10) 3. 软件仿真 (11) 3.1 软件简介 (11) 3.2 进行仿真 (12) 3.3 仿真分析 (13) 4. 结论 (13) 4.1 设计的功能 (13) 4.2 设计不足 (13) 4.3 心得体会 (14) 参考文献 (14)

徐雷:LC振荡器设计 摘要 振荡器是一种不需要外加激励、电路本身能自动地将直流能量转换为具有某种波形的交流能量的装置。种类很多,使用范围也不相同,但是它们的基本原理都是相同的,即满足起振、平衡和稳定条件。通过对电感三点式振荡器(哈脱莱振荡器)、电容三点式振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析,根据课设要求频率稳定度为10-4,西勒电路具有频率稳定性高,振幅稳定,频率调节方便,适合做波段振荡器等优点,因此选择西勒电路进行设计。继而通过Multisim设计电路与仿真。 关键词:振荡器;西勒电路;Multisim Abstract The oscillator is a kind of don't need to motivate, circuit itself automatically device for DC energy into a waveform AC energy applied. Many different types of oscillators, using range is not the same, but the basic principles are the same, to meet the vibration, the equilibrium and stability conditions. Based on the inductance of the three point type oscillator ( Hartley), three point capacitance oscillator ( Colpitts) and improved capacitor feedback oscillator (Clapp and Seiler) analysis, according to class requirements, Seiler circuit with high frequency stability, amplitude stability frequency regulation, convenient, suitable for the band oscillator etc., so the final choice of Seiler circuit design. Then through the Multisim circuit design and simulation. Key Words:Oscillator; Seiler; Multisim 1

555定时器的原理及三种应用电路

实验10 555定时器的原理及三种应用电路 「、实验目的 (1) 掌握555定时器的电路结构、工作原理。 (2) 熟悉555定时器的功能及应用。 :■、实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 CB555定时器;100Q ~100k Q电阻;0.01~100卩F电容;1k Q和5k Q电位器; 发光二极管或蜂鸣器。 三、实验内容 (1)按图2-10-3连接施密特触发器电路,分别输入正弦波、锯齿波信号,观察并记录输出输入波形。 1?实验原理 当输入电压《::」V cc时,=V TR:::'CC V。为高电平 3 3 1 2 当-V cc : V i:-时,乂保持高电平。 3 3 2 2 当V i ?—V CC,V TH -V TR -V cc 时,V o 为低电平。 3 3 1 2 V由大变小时,即-v cc : V :-时,V)保持低电平。 3 3 一旦V「:-V cc,则V o又回到高电平。 3 2?仿真电路如图:

3?实验结果: 输入正弦波: 输入锯齿波:

(2)设计一个驱动发光二极管的定时器电路,要求每接收到负脉冲时,发光管持续点亮秒后熄灭。 2 1?实验原理: 由555定时器构成单稳态触发器,由单稳态触发器的功能可知,当输入为一个负脉冲 时,可以输出一个单稳态脉宽T W,且T W=1.1RC。所以想要使发光二极管接收到负脉冲时, 持续点亮2S,即要使T W=2S所以,需选定合适的R、C值。选定R、C时,先选定C的值 为100uF,然后确定R的值为18.2k Q。 2.仿真电路如图: 波形图为:

若是1秒或者是5秒。只需改变R 与C 的大小,使得脉冲宽度 T=1.1RC 分别为1或是5 即可。1 秒时: C=1OOuF, R=9.1k Q 5 秒时:C=1OOuF , R=45.5k Q 。 (3) 按图 2-10-7连接电路,取 R 仁1k Q , R2=10k Q ,C 仁0.1卩F,C2=0.01卩F ,观察、记录 V Cr 、V O 的同步波形,测出 V 。的周期并与估算值进行比较。改变参数 R1=15k Q , R2=10k Q ,C1=0.033卩F,C2=0.1卩F ,用示波器观察并测量输出端波形的频率。 经与理论估算值比较, 算出频率的相对误差值。 1?实验原理 555定时器构成多谐振荡器。 1 当加电后,V cc 通过R |,R 2 对R 充电,充电开始时V Cr =V TH =V TR £-V cc ,所以 V O =1。 3 1 2 当V Cr 上升到-V cc

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

高频课程设计

中原工学院 课程设计报告 课题名称:AM传输系统设计 姓名:xxxxx 班级:信息类101 学号:xxxxx 同组人员:xxxxxx 指导教师:魏平俊、高丽

现代通信电路 课程设计任务书 1、设计题目:AM传输系统的设计 2、包含项目: (1)信号源产生模块(模拟语音信号); (2)载波信号产生模块 (3)AM调制器:平衡调制器 (4)AM解调器:解调AM信号 3、设计要求: (1)在进入实验室进行实际操作前,提交准备报告:包括综合设计概况、主要技术指标、相应模块的实现方法;提交模块的 电路原理图;提交采用的器件资料。 (2)实验操作可在ZH5006综合设计实验箱上进行,也可在高频电路实验台上进行。要求自行安装语音信号产生模块,其他 模块采用标准模块。 (3)在进入实验室进行实际操作后,提交课程设计报告。报告格式参照中原工学院课程设计指导手册。 4、分组安排: 实验操作分两组进行:一组进行电路安装、调试,一组进行设计电路原理图、软件仿真。然后再对调工作。 5、时间安排: (1)第1天:布置任务,讲解设计方法,进行预设计; (2)第2-3天:第一组进行电路安装、调试,第二组进行设计电路原理图、软件仿真。 (3)第3-4天:第二组进行电路安装、调试,第一组进行设计电路原理图、软件仿真。 (4)第5天:撰写设计报告。

目录 一、绪论 (4) 1.1设计目的 (4) 1.2设计内容 (4) 1.3设计要求 (4) 1.4设计流程 (5) 二、课程设计详细内容及步骤 (6) 2.1信号源产生模块 (6) 2.2载频信号产生模块 (9) 2.3AM调制器模块 (12) 2.4AM解调器模块 (14) 三、课程设计过程分析 (17) 3.1仿真分析 (17) 3.2焊接连线调试分析过程 (22) 3.3遇到问题,解决办法及心得体会 (24) 四、参考文献 (24) 附录A工具元件清单附录B仿真结果

函数信号发生器-课程设计2.

长安大学 电子技术课程设计 课题名称函数信号发生器 班级 __******____ 姓名 指导教师 *** 日期 本次电子技术课程设计是指通过所学知识并扩展相关知识面,设计出任务所要求功能的电路,利用计算机辅助设计的电路仿真,检测并调整电路,设计功能完整的电路图。我们所选择的课设题目是函数信号发生器。函数发生器一般是指能自动产生正弦波、方波、三角波的电压波形的电路或者仪器。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。 在资料收集后,将设计过程分为三部分:一是系统模块设计,设计电路的系统思想,设计出能满足电路功能的各个模块,画出系统的框图。二是针对各个模块分别设计电路的各个具体模块的具体电路,并且分别进行仿真和改进。三是将所有的模块综合在一起,画出系统总图,并用multisim 软件进行仿真,针对仿真过程中出现的一些问题仔细检查,对比各个方案的优点和缺点,选出最佳的方案,修改不完善的部分。 最后,对此次课程设计进行总结,反思自己在各个方面的不足,对设计方案中的各个思想进行归纳总结,比较各种方案的优缺点,总结每种设计方案的应用领域和使用范围,为以后得学习实践提供经验。最终提高我们的学习和动手能力。 前言.......................................................................................2 摘要 (4)

第一章数信号发生器系统概述 (5) 1.1总体设计方案论证及选择 (5) 1.2函数信号发生器总体方案框图 (5) 第二章单元电路设计分析 (6) 2.1 信号发电路设计框图 (6) 2.2方波发生电路 (7) 2.3方波——三角波转换电路 (8) 2.4三角波——正弦波转换电路 (9) 2.5.5数字显示输出信号频率和电压幅值 (11) 第三章电路的安装与调试 (15) 3.1方波产生的结果 (15) 3.2方波转换为三角波的结果 (15) 3.3三角波转换为正弦波的结果 (16) 3.4数字显示频率和幅值的结果 (16) 第四章结束语........................................................................ 17 参考文献.............................................................................. 17 附录一器件清单列表............................................................... 18 附录二总体设计图............................................................... 18 收获及体会..............................................................................19 鸣谢 (20)

相关文档
最新文档