多功能波形发生器

多功能波形发生器
多功能波形发生器

目录

1.设计选题及任务 (2)

2.相关背景以及现状的介绍 (2)

2.1研究背景 (2)

2.2 国内外现状 (3)

3.系统概述及硬件简介 (4)

4.相关原理的概述 (5)

4.1 DAC单元 (5)

4.2 LCD单元 (7)

4.3 DDS单元 (9)

4.3.1 DDS原理分析 (9)

4.3.2 DDS基本结构 (10)

4.3.3 DDS原理 (11)

4.3.4 DDS技术特点 (15)

5.波形发生器的软件设计 (17)

5.1 软件设计的原理 (17)

5.2 Matlab产生波形数据 (19)

6.波形的生成结果 (21)

1.设计选题及任务

设计题目:多功能波形发生器

任务与要求:

设计一个由Tiva c lanuchpad控制的波形发生器。运用其控制产生多种波形,这些波形包括方波、三角波、锯齿波、正弦波。然后在LCD上显示波形的菜单,通过菜单能够选择不同的波形。

基本要求:

1. 产生方波、三角波、锯齿波、正弦波

2.在LCD上显示波形的菜单

3.通过菜单来实现对波形的选择。

2.相关背景以及现状的介绍

2.1研究背景

任意波形发生器是一种能产生任意波形的信号源,它是近年来发展起来的一种信号发生器。区别于早先的函数波形发生器,任意波形发生器使用到了微处理

器及数模转换器等数字器件。它不仅能完成函数波形发生器的功能产生正弦、三角、锯齿等基本波形,还提供了方便的波形设置方法以便产生用户所需要的任意波形。

随着科技研究的不断深入和领域扩展,要求用电信号模拟的信号更加复杂、多样。例如,通信的每一步发展,都要求不同的测试信号;而生物学上的研究,也常常需要使用神经信号和脑波信号等各种特别的电信号;材料科学、生命科学等诸多领域,都要求用任意波形发生器产生复杂的信号。另外,现代科技要求信号更加准确、逼真,而不仅仅满足于大体合适。因此,在不少测试方案和自动化系统的组成中,在信号源部分已明确指定为任意波形发生器。在电类和非电类的应用中,对这类仪器的需要都日益迫切。

任意波形发生器目前主要有两种实现方法:一种是传统的任意波形发生器,但是由于采用的是模拟和模数混合的方法,限制了其频率稳定度,并且系统比较复杂。另一种是基于直接数字频率合成(DDS) 技术的任意波形发生器,采用这种技术的AWG 具有很高的频率分辨率和快速的输出频率转换能力,并且输出频率范围宽。

2.2 国内外现状

目前,市场上常见的任意波形发生器主要有普源RIGOL、优利德。从功能上看,普源的任意波形发生器比较完善,但价格偏高。其他厂家的任意波形发生器虽然也可以产生任意波形,但波形来源往往是较简单的波形合成,并不是真正意义上的“任意”波形。如今,虽然国内外的任意波形发生器研究上已经趋于完善,但实际运用上还存在不尽人意的地方。例如:有的可视化界面仅能显示文字数据,不能直接显示输出波形;有的任意波形数据的产生只能连接上位

机获取;任意波形编辑功能不够全面,仅能满足特定的场合。即使有较完善功能的任意波形发生器,但其高昂的价格却让人望而止步。当然,在高校中对于各种任意波形发生器的研制也有不少,例如东莞理工学院的可视化多功能DDS 任意信号源,但其可视化也是建立在用Delphi来开发图形上位机软件的基础上的。

3.系统概述及硬件简介

随着科技的发展和现代科研的需要,信号发生器已经成为了很多行业进行研究测试不可或缺的工具,但目前使用波形发生器大部分体积大,可靠性差,准确度低。因此为了实验研究方便,研制一种体积小、可靠性强、准确性高的波形发生器显得尤为重要。

图3.1.1

4.相关原理的概述

4.1 DAC单元

DAC(Digital to Analog Conventer)数模转换器可以把处理器运算处理后输出的数字信号转换成模拟信号,完成对数字信号的复原工作。DA 转换,即把数字信号转换为模拟信号输出。简单的说,就是把数字信号按照一定的参考电压转换成电压值输出。例如,12 位分辨率时,数据0XFFF 值对应满程参考电压,那么0x7FF 就会输出半程参考电压。TM4C123G的DAC7512 模块的控制位较多,可以满足多种输出需求

TM4C123G没有自带的DAC(模数转换器),DY-Tiva-PB板上扩展了一片DAC,并可用于任意波形发生器(AWG)。采用TI的12位DAC器件DAC7512,实现DAC及任意波形的产生。产生的信号可以在AWG端子上通过示波器观看,还可以通过PE2的ADC读入CPU,经过处理后显示在LCD上。

DAC7512是一种低功耗、单电源、12位缓冲电压输出的数字到模拟转换器(DAC)。其内置的精密输出放大器允许轨到轨输出,接口为通用的三线串行SPI,兼容QSPI和DSP接口,时钟速率达30MHz。DAC7512集成了上电复位电路,确保DAC的输出0V时还能保持数据,直到下一个有效的数据下进来。

DAC7512的主要特点:

(1)微功耗,5V时工作电流消耗为135uA(DAC7512);

(2)在掉电模式时,如果采用5V电源供电,其电流消耗为135nA,而采用3V供电时,其电流消耗仅为50nA;

(3)供电电压范围为+2.7V~+5.5V;

(4)上电输出复位后输出为0V;

(5)具有三种关断工作模式可供选择,5V电压下功耗仅为0.7mW;

(6)带有低功耗施密特输入串行接口;

(7)内置满幅输出缓冲放大器;

(8)具有SYNC中断保护机制。

DAC单元的原理图如图4.1.1所示。

4.1.1单元原理图

其中,

VOUT——模拟电压输出

GND——接地

VDD——电源

DIN——串行数据输入

SCLK——串行时钟输入

SYNC——电平触发输入

4.2 LCD单元

TM4C123G芯片没有专用的LCD接口,但是芯片的速度较快,自身功能比较强大,所以先择一个点阵的LCD是最好的,可以显示任意的文字和图形。同时由于TM4C123G LP上的I/O资源很有限,并口的LCD会占用很多I/O资源,所以选择一个串口的LCD是最合适的。DY-Tiva-PB口袋板上选择了一个128x64点阵的串行接口LCD。背光通过PA5来控制。LCD显示单元PCB板上的位置如图4.2.2所示。

图4.2.2 LCD显示单元的原理图

12864 点阵液晶显示模块(LCM)就是由128*64 个液晶显示点组成的一个128 列*64 行的阵列。每个显示点对应一位二进制数,1 表示亮,0 表示灭。存储这些点阵信息的RAM 称为显示数据存储器。要显示某个图形或汉字就是将相应的点阵信息写入到相应的存储单元中。图形或汉字的点阵信息由自己设计,问题的关键就是显示点在液晶屏上的位置(行和列)与其在存储器中的地址之间的关系。每屏有一个512*8 bits 显示数据RAM。左右半屏驱动电路及存储器分别由片选信号CS1 和CS2 选择。显示点在128*64 液晶屏上的位置由行号(line,0~63)与列号(column,0~63)确定。512*8 bits RAM 中某个存储单元的地址由页地址(Xpage,0~7)和列地址(Yaddress,0~63)确定。每个存储单元存储8 个液晶点的显示信息。

为了使液晶点位置信息与存储地址的对应关系更直观关,将128*64 液晶屏从上至下8 等分为8个显示块,每块包括8 行*64 列个点阵。每列中的8 行点阵信息构成一个8bits 二进制数,存储在一个存储单元中。(注意:二进制的高低有效位顺序与行号对应关系因不同商家而不同)存放一个显示块的RAM 区称为存储页。即128*64 液晶屏的点阵信息存储在8 个存储页中,每页64 个字节,每个字节存储一列(8 行)点阵信息。因此存储单元地址包括页地址(Xpage,0~7)和列地址(Yaddress,0~63)。例如点亮128*64 的屏中(20,30)位置上的液晶点,因列地址30 小于64,该点在左半屏第29 列,所以CS1 有效;行地址20 除以8 取整得2,取余得4,该点在RAM 中页地址为2,在字节中的序号为4;所以将二进制数据00010000(也可能是00001000,高低顺序取决于制造商)写入Xpage=2,Yaddress=29 的存储单元中即点亮(20,

30)上的液晶点。

字模虽然也是一组数字,但它的意义却与数字的意义有了根本的变化,它是用数字的各位信息来记载英文或汉字的形状,如英文的'A'在字模的记载方式如图所示:

4.2.3“A”字模图

在数字电路中,所有的数据都是以0 和1 保存的,对LCD 控制器进行不同的数据操作,可以得到不同的结果。对于显示英文操作,由于英文字母种类很少,只需要8 位(一字节)即可。而对于中文,常用却有6000 以上,于是我们的DOS 前辈想了一个办法,就是将ASCII 表的高128 个很少用到的数值以两个为一组来表示汉字,即汉字的内码。而剩下的低128 位则留给英文字符使用,即英文的内码。

4.3 DDS单元

4.3.1 DDS原理分析

1973年,J.Tiemey和 C.M.Tader等人在《A Digital Frequency Synthesizer》一文中首次提出了DDS的概念,但限于当时的技术条件,DDS 并没有引起人们的足够重视。上世纪90年代以来,随着数字集成电路和微电子

技术的发展,DDS技术的优越性才日益体现出来。

4.3.2 DDS基本结构

DDS与大多数的数字信号处理技术一样,它的基础仍然是奈圭斯特采样定理。奈圭斯特采样定理是任何模拟信号进行数字化处理的基础,它描述的是一个带限的模拟信号经抽样变成离散值后可不可以由这些离散值恢复原始模拟信号的问题。

奈圭斯特采样定理告诉我们,当抽样频率大于或者等于模拟信号最高频率的两倍时,可以由抽样得到的离散信号无失真地恢复出原始模拟信号。只不过在DDS技术中,这个过程被颠倒过来了。DDS不是对模拟信号进行抽样,而是一个假定抽样过程已经发生且抽样值已经量化完成,如何通过某种方法把已经量化的数值重建原始信号的问题。

DDS电路一般由参考时钟、相位累加器、波形存储器、D/A转换器(DAC)和低通滤波器(LPF)组成。其结构框图如图4.3.1所示。

o

图4.3.1 DDS基本结构框图

其中,

f为参考时钟频率,K为频率控制字,N为相位累加器位数,A为

c

波形存储器位数,D为波形存储器的数据位字长和D/A转换器位数。

DDS系统中的参考时钟通常由一个高稳定度的晶体振荡器来产生,用来作为整个系统各个组成部分的同步时钟。频率控制字(Frequency Control Word,FCW)实际上是二进制编码的相位增量值,它作为相位累加器的输入累加值。相位累加器由加法器和寄存器级联构成,它将寄存器的输出反馈到加法器的输入端实现累加的功能。在每一个时钟脉冲

f,相位累加器把频率字K累加一次,

c

累加器的输出相应增加一个步长的相位增量,由此可以看出,相位累加器的输出数据实质上是以K为步长的线性递增序列(在相位累加器产生溢出以前),它反映了合成信号的相位信息。相位累加器的输出与波形存储器的地址线相连,相当于对波形存储器进行查表,这样就可以把存储在波形存储器中的信号抽样值(二进制编码值)查出。在系统时钟脉冲的作用下,相位累加器不停的累加,即不停的查表。波形存储器的输出数据送到D/A转换器,D/A转换器将数字量形式的

波形幅度值转换成所要求合成频率的模拟量形式信号,从而将波形重新合成出来。若波形存储器中存放的是正弦波幅度量化数据,那么D/A 转换器的输出是近似正弦波的阶梯波,还需要后级的低通平滑滤波器进一步抑制不必要的杂波就可以得到频谱比较纯净的正弦波信号。图43..2所示为DDS 各个部分的输出信号。

由于受到字长的限制,相位累加器累加到一定值后,就会产生一次累加溢出,这样波形存储器的地址就会循环一次,输出波形循环一周。相位累加器的溢出频率即为合成信号的频率。可见,频率控制字K 越大,相位累加器产生溢出的速度越快,输出频率也就越高。故改变频率字(即相位增量),就可以改变相位累加器的溢出时间,在参考频率不变的条件下就可以改变输出信号的频率。

相位累加

器输出波形存储器输出DAC输出LPF输出

图4.3.2 DDS 各部分输出波形

4.3.3 DDS 原理

根据傅立叶变换定理,任何满足Dirichlet 条件的周期信号都可以分解为一系列正弦或者余弦信号之和。为了不失一般性,下面以正弦信号的产生为例来说明DDS 的基本原理。

我们知道,正、余弦信号用可以用复数形式表示为:

()cos(2)Re (2)ft Exp j ft ππ= 式(4-1)

()sin(2)Im (2)ft Exp j ft ππ= 式(4-2)

图4.3.3 信号的复数表示形式

图4.3.3描述了矢量R u v 绕原点沿正方向(逆时针)旋转时,其模值R 与x 轴夹角()t θ(相位角)及R u v 在y 轴上的投影S 三者之间的关系。当R u v 连续地绕原点

旋转,S 将取~R R -+之间的任意值,()t θ将以2π为模取0~2π之间的任意值。如果将S 看作我们欲重构正弦信号的幅度值,则相位角()t θ和S 的关系为:

sin ()S R t θ=。现将相位数字化(采样、量化)

,将2π量化成M 等份,则相位量化的最小间隔为2/M θπ?=,这样造成的结果是重构信号的幅度值S 也相应离散化:

2sin()sin()1,2,...,S R n R n n M M

πθ=??=?= 式(4-3) 由式(4.2)可以看出,S 只能取与相位n θ??对应的幅度值。

图4.3.4 相位数字化示图

如图4.3.4所示,设此时R u v 不是绕原点连续旋转,而是在系统时钟c f 的控制下以相位增量α?进行阶跃式旋转,很容易可以看出来,在相位周期变化的同时,输出信号的幅度S 也在周期重复着,因此,重构信号的周期在幅度中也就体现出来了。

为了进一步探讨相位增量对输出信号频率的影响,我们分别以相位增量为/4π和/8π重构信号幅度,分别如图4.3.5和4.3.6所示。在此,我们假设相位累加是在相同的系统时钟c f 的进行的,即对于不同的相位增量,c f 是固定不变的,这是理解相位增量和重构信号频率关系的基础。

180

图4.3.5 相位增量为/4π时相位幅度的映射关系

180

图4.3.6 相位增量为/8π时相位幅度的映射关系

对比图4.3.5和图4.3.6,我们很容易发现,当相位增量减少为原来的二分之一时,输出信号的采样值密集度就成了原来的两倍,那么R u v 旋转一周的时间自然也增大为原来的两倍,即'2o o T T =。周期T 与频率f 成倒数关系,由此可得两种情况下输出重构信号的频率关系:'2o o f f =,如图4.3.7所示。

相位增量为/4π相位增量为/8

π

图4.3.7 相位增量不同对重构信号频率的影响(仿真)

分析到这里,我们可以得出结论,在DDS 系统中,在参考时钟c f 固定不变的前提下,通过改变相位增量的值,就可以得到不同频率的重构信号。那么相位增量跟我们的频率控制字K 之间有什么联系呢,频率控制字又是通过怎样的方式来控制输出信号的频率的呢?

我们假设有一个频率为f 的正弦信号()S t :

()sin(2)S t ft π= 式(4-4)

现以采样频率c f 对该信号进行抽样,得到离散序列为:

()sin(2)c c S nT f nT π=? 式(4-5)

其中1/c c T f =为采样周期。习惯上将式(4-5)写成式(4-6)的形式:

()sin(2)0,1,2,c S n f nT n π=?=L 式(4-6)

式(4-6)对应的相位序列为:

()20,1,2,c n f nT n φπ=?=L 式(4-7)

该序列的显著特性是线性,即相邻样值之间的相位增量是一常数,且仅与信号频率f 有关,当式(4-7)中的n 取1时得到量化相位增量为:

2c f T φπ?=? 式(4-8)

倘若我们将2π相位均匀量化M 等份,人为构造一个相位值'φ?:

'2K M

πφ?= 式(4-9) 并且使得'φφ?=?,那么就可以得到如下关系:

c c

K f f T M f =?= 式(4-10) 根据以上原理,如果我们用变量K 构造一个量化序列:

()n nK ?= 式(4-11)

然后完成()n ?到另一个序列'()S n 的映射,即由()n ?构造序列:

'22()sin ()sin()S n n nK M M ππ???== ???

式(4-12) 将式(4-10)代入式(4-12)可得:

'()sin(2)c S n fT n π=? 式(4-13)

对比式(4-6)跟式(4-13),我们不难发现,'()S n 其实就是信号()S t 经过采样频率c f 抽样后的离散时间序列。在满足奈圭斯特采样定律的的条件下,即:

12

c K f M f =≤ 式(4-14) '()S n 可以经过D/A 转换和低通平滑滤波唯一地恢复出()S t 。

可见,通过上述变换,变量K 将唯一地确定一个单频模拟正弦信号()S t :

()sin(2)c K S t f t M

π= 式(4-15) 该信号的频率为:

o c K f f M

= 式(4-16) 式(4-16)就是DDS 的基本方程,是利用DDS 进行频率合成的立足点。在实际的DDS 应用中,一般取2N M =,N 为正整数,于是DDS 的基本方程可写成:

11,2,..2.,2

N o c N K f f K -== 式(4-17) 由式(4-17)可以看出,当1K =时,DDS 系统输出信号频率o f 最小,而这个最小频率同时也是DDS 系统的频率分辨率:

min 2c o o N

f f f =?= 式(4-18) 对于DDS 系统从波形存储器中读数据的过程,我们可以将其看作是对波形存储器中的波形数据再次采样的过程,也就是说,DDS 系统查表的过程就是从波形存储器中二次采样过程,一个周期内查表的点数即为采样的点数。DDS 系统要恢复出原始波形,其在一个周期内至少要取样两点,这是受我们一直都在强调的奈圭斯特采样定理的限制。那么DDS 系统在理论上能输出的最大频率是:

max 2

c o f f = 式(4-19) 经过以上的分析,我们得出以下几点结论:

(1)DDS 系统的输出频率o f 只与频率控制字K 、系统时钟频率c f 、相位累加器位数N 有关。在系统时钟频率c f 和相位累加器位数固定时,通过改变频率控制字K 的值,就可以方便地改变输出信号的频率。

(2)DDS 系统的频率分辨率只与系统的系统时钟频率c f 和相位累加器位数N 有关。想要提高系统的分辨率,可以增加相位累加器位数或者是降低系统时钟频率。

(3)DDS 理论上最大输出频率不会超过系统时钟频率c f 的二分之一,但在实际应用中,由于DDS 系统中的低通滤波器非理想特性,由通带到阻带之间存在着一个过渡带,工程中DDS 最高输出频率只取到40%c f 左右。

4.3.4 DDS 技术特点

DDS 这一新技术特点鲜明,优点很突出,缺点也很明显。

DDS 系统的优点有很多,它的很多特性是其他频率合成技术所没有的,其中最主要的特性有以下三点:

(1)DDS 技术可以用于产生任意波形

基于前面对DDS 系统的基本结构分析,很容易理解,只要改变存储在波形存储器中的波形数据,就可以改变输出波形。所以对于任何周期性波形,只要满足采样定理,都可以利用DDS 技术来实现。

(2)DDS 系统具有很高的频率分辨率

DDS 系统输出频率的分辨率和频点数随相位累加器的位数成指数增长,由式(4-18)可知,在系统时钟频率不变的情况下,只要增大相位累加器的位数N ,就可以得到几乎是任意小的频率分辨率,可以满足精细频率控制的要求。DDS 如此精细的频率分辨率,使其输出频率已十分逼近连续变化。

(3)输出频率切换速度快且相位保持连续

与锁相频率合成相比,由于DDS 系统是一个开环系统,所以当一个新的频

率控制字送到时,它会迅速合成这个新的频率,实际的频率切换时间可以达ns 级。同时,频率切换时,DDS 系统的输出波形的相位是连续的。DDS 系统的频率字改变时,输出波形的变化过程可以用图4.3.8描述。

相位累加器输出值变化示意曲线

输出波形变化曲线P

图4.3.8 频率控制字改变时累加器的输出值和输出波形的变化(仿真)

在波形输出到P 点时,频率字发生了改变(变小),相位累加器的累加值即相位步进变小,其输出值斜率也变小,系统的输出波形的频率也在同时刻变小。DDS 系统在频率字发生改变后的一个时钟周期,其输出频率就可以就转换到了新的频率上,也即在频率字的值改变以后,累加器在经过一个时钟周期后就按照新的频率字进行累加,开始合成新的频率。所以我们可以认为DDS 的频率切换是在一个系统时钟周期内完成的,系统时钟频率越高,切换速度越快。

另外,从前面对DDS 技术原理的分析可知,要改变输出频率,实际上改变的是频率字,也就是相位增量。当频率字的值从1K 改变为2K 之后,相位累加器是在已有的累积相位上,再对2K 进行累加,相位函数曲线是连续的。由于DDS 采用数字化技术,最终合成信号是经过D/A 转换得到的,所以不可避免的存在着以下缺点:

(1)DDS 在工程中的最高输出频率一般只能达到系统时钟频率的40%,要想获得较高的频率,就必须提高系统时钟频率,也就是说DDS 的相位累加器、波形存储器和D/A 转换器都将工作在较高的时钟频率下,它的实现依赖于高速数字电路和高速D/A 转换器。这也是DDS 系统在早期没有受到重视,而直到最近几年才迅速发展的原因。

(2)DDS系统采用数字合成技术,先离散信号再变换成模拟信号输出,这其中导致了各种误差,尤其是相位截断误差,因此各种杂波是不可避免的。

为了具有较高的输出频率,DDS系统的参考时钟频率一般都比较高,根据式(4-18),在较高的时钟频率下,要想获得较高的频率分辨率,只有通过增加相位累加器的位数N,故一般N的取值都较大。如果相位累加器的所有输出都用来作为波形存储器的寻址地址,那么存储器的容量会大得惊人。例如,如果32位累加器的所有位都用来作为存储器的地址,那么需要4G个存储单元,而如果换成48位的累加器,那么就需要256T(1T=1024G)个存储单元,这样的设计显然是没有办法接受的。因此存储器的地址线位数A一般都小于N。这样存

位则要舍储器的地址线就只能接到相位累加器的输出的高A位上,而低N A

弃,也就产生了相位截断误差,表现在输出频谱上就是杂散分量。

5.波形发生器的软件设计

5.1 软件设计的原理

软件系统的设计部分采用“自顶向下”的设计方法,其系统控制流程为:系统初始化,用户控制输入。

具体的流程图如下:

对于DDS中ROM中存储的波形数据我们使用Matlab来产生。因为在DDS信号发生器的设计中,会用到诸如三角波、方波、正弦波等简单波的波形数据,如果手动计算,会比较繁琐,所以在这里,我利用Matlab的文件读写函数,将生成的波形数据写到一个特定的txt文件中,并且符合C中数组的格式要求。

具体的我们可以使用公式法,根据用户输入的公式或者函数语句产生波形信号,这种方法比较科学,精度较高。例如,sin(2*pi*x)+sin(4*pi*x),其中变量x的范围为0到1。这样,根据公式的不同能够产生不同的波形数据。

用Matlab产生波形数据以后,我们把波形数据存储到DDS的ROM中,然后把该数据发送给DAC模块,通过D/A转化,把数字信号转化为模拟信号,这样就可以产生我们想要的波形,该波形可以通过示波器来观看。

Matlab端和tiva端的关系用下图来表示:

5.2 Matlab产生波形数据

%以三角波数据为例

tridata=uint8(round([0:499]./500*255));%三角波上升沿数据tridata2=uint8(round([499:-1:0]./500*255));%三角波下降沿数据dataa=[tridata tridata2];

fileID = fopen('data.txt','w');%新建一个名为'data.txt'的文件fprintf(fileID,'%s','tridata={0x');%以十六进制格式写入文件,文件头fprintf(fileID,'%X',dataa(1));

fprintf(fileID,'%s0',',')

for i=2:length(dataa)-1

if(~mod(i,20))

fprintf(fileID,'%s \n',' ');

end

fprintf(fileID,'%s','0x') fprintf(fileID,'%X',dataa(i)); fprintf(fileID,'%s',',')

end

fprintf(fileID,'%s','0x') fprintf(fileID,'%X',dataa(i)); fprintf(fileID,'%s','};') %文件尾fclose(fileID);

多波形函数信号发生器方案

个人资料整理仅限学习使用中文摘要

英文摘要

目录 1 引言.......................................................... - 1 - 2函数信号发生器设计要求及过程.................................. - 2 - 2.1函数信号发生器设计要求 (2) 2.2函数信号发生器电路设计的基本原理 (2) 2.3运算放大器的介绍 (3) 2.3.1迟滞电压比较器......................................... - 3 - 2.3.2 积分电路.............................................. - 5 - 2.4差分放大器的介绍 (6) 3总体电路设计 (7) 3.1方波—三角波产生电路的设计 (7) 3.2三角波—正弦波变换电路的设计 (11) 4.1EWB软件的简介 (15) 4.1.1 EWB软件的概述........................................ - 15 - 4.1.2 EWB软件的基本操作方法................................ - 15 - 4.2函数信号发生器的仿真过程及结果 (16) 4.2.1使用EWB对电路进行设计和实验仿真的基本步骤............. -16 - 4.2.2方波—三角波信号发生器电路的装调及仿真结果............ - 16 - 4.2.3三角波—正弦波变换电路的装调和仿真.................... - 17 - 结论........................................................... - 20 - 参考文献

信号发生器的设计方案综述【文献综述】

文献综述 电子信息工程 信号发生器的设计方案综述 摘要:本文首先介绍了信号发生器的背景与应用,然后提出了基于直接数字频率合成(DDS)技术的信号发生器实现,概述了DDS的概念及基本结构,介绍了基于FPGA、单片机及专用芯片的信号发生器实现方案,最后对这些方案给出笔者的评价。 关键词:DSP BUILDER;数字移相信号发生器;DDS 1引言 在当今社会,信号发生器作为电子领域中的最基本、最普通、最广泛的仪器之一,是工科类电子工程师进行信号仿真实验的最佳工具。而信号发生器是指能产生测试信号的仪器,它主要用于产生被测电路所需特定参数的电测试信号。 本文设计的数字移相信号发生器通过移相技术在数控、数字信号处理机、工业控翻、自动控制等各个领域得以应用[1]。 2 DDS概述 直接数字频率合成DDS(Direct Digital Frequency Synthesizer)是一种采用数字化技术、通过控制相位的变化速度、直接产生各种不同频率信号的新型频率合成技术,标志着第三代频率合成技术的出现。它是把一系列数字量形式的信号通过数模转换器(DAC)转换成模拟量形式的信号[2]。目前使用的最广的一种DDS方式是利用高速存储器作查找表。然后通过高速DAC输出已经用数字形式存入的正弦波。具有频率切换时间短,频率分辨率高,频率稳定度高。输出信号的频率和相位可快速程控交换、输出相位连续、容易实现频率、相位和幅度的数控调制等优点[3]。 图1 DDS基本结构 DDS是以数控的方式产生频率、相位和幅度可以控制的正弦波,如图1所示为基本DDS结构,由

相位累加器、相位调制器、正弦ROM查找表、D/A构成[4]。相位累加器是整个DDS的核心,它由一个累加器和一个N位相位寄存器组成,每来一个时钟脉冲,相位寄存器以相位步长M增加,相位寄存器的输出与相位控制字相加,完成相位累加运算,其结果作为正弦查找表的地址,正弦ROM查找表内部存有一个完整周期正弦波数字幅度信息,每个查找表地址对应正弦波中o。~360。范围的一个相位点,查找表把输入的地址信息映射成正弦波幅度信号,通过D/A输出,经低通滤波器后,即可得一纯净的正弦波。 而所谓的移相,就是指两路同频的信号,以其中的一路为参考,另一路相对于该参考作超前或滞后的移动,即称为相位的移动。两路信号的相位不同,便存在相位差,简称相差[5]。两路信号的相位差用相位字来控制,只要相位字不同,就可得到两路不同相位的移相信号。 3 基于DDS的数字移相系统设计 3.1基于FPGA的实现 传统使用FPGA的数字信号处理系统的设计,首先需要用仿真软件进行建模仿真,得到预想中的仿真结果后。再根据仿真过程和结果,使用硬件描述语言创建硬件工程,最后完成硬件仿真。整个过程漫长而繁杂,尤其困难的是仿真过程不够直观.一旦遇到问题无法及时准确地确定问题所在。而DSP Builder作为一个面向DSP开发的系统级(或算法级)设计工具,它架构在多个软件工具之上,并把系统级和RTL 级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势[5]。DSP Builder依赖于MathWorks 公司的数学分析工具Matlab/ Simulink ,DSP Builder允许设计者在Matlab 中完成算法设计,在Simulink 软件中完成系统集成,通过SignalCompiler模块生成Q uart usII 软件中可以使用的硬件描述语言(V HDL) 文件,它提供了QuartusII软件和MA TLAB/ Simulink工具之间的接口,通过DSP Builder 、SOPC Builder 、Quart usII 软件构筑的一套从系统算法分析到FPGA 芯片实现的完整设计平台[6]。 3.2基于单片机的实现 基于单片机的信号发生器其核心内容是单片机的主程序,主程序对整个设计起着总控作用[7]。设计方案如图2所示.系统在程序控制下,先读取P3口决定波形信号类别,然后由Po口输出数据,经D/A转换后放大、滤波输出.波形频率在线调整是通过读取P2口上的拨码开关的编码,并根据该编码产生的数字量,在PO口输出一个数据后立即产生一个对应时长的延时时间来实现.幅度调整是通过接在DAC上的滑动变阻器来改变D/A转换的参考电压来实现[8]。

多种波形发生器

多种波形发生器 波形发生器被广泛用于各大院校的教学和科研场所的研究。 我们通过对实验的认识和对资料的查询,选择利用脉冲数字电路原理设计了多种波形发生器,该发生器通过555数字芯片构成多级振荡器,组成RC积分电路来 分别实现方波、三角波和正弦波的输出。它的制作成本不高,电路简单,使用方便,有效的节省了人力,物力资源,具有实际的应用价值。 一、总体方案的选择 对于设计我们的思路是应用555定时器,组成RC振荡电路,从而使直流信号变成所需要的振荡信号,从而实现多种波形的转化和输出。 1.拟定系统方案框图 (1)方案一: 实验原理: 用555定时器组成振荡器形成方波信号,以方波作为输入信号进入积分电路产生并输出三角波,然后,将三角波作为一个输入信号,进入另外一个积分电路,产生并输出一个正弦波。 原理框架图: 方波输出三角波输出正弦波输出

设计指标: 正弦波输出振荡频率为500HZ,三角波方波输出频率为500HZ—1000HZ,三角波幅值范围2V—2V。 (2)方案二: 实验原理: 用555定时器组成振荡器形成方波信号,以此方波信号作为积分电路的输入信号,通过积分电路输出三角波信号;而另一条路径的方波信号作为滤波电路的输入信号,通过输入滤波电路产生并输出正弦波。 原理框架图: 方波信号三角波信号正弦波信号 设计指标: 正弦波输出振荡频率为500HZ,三角波方波输出频率为500HZ—1000HZ,三角波幅值范围2V—2V。

2.方案的分析和比较 (1)方案一: 方案一所涉及的电路主要是集中于555定时器所发出的方波信号,555定时器是一种多用途的数字-模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。因此该方案比较稳定,同时,该电路的设计思路使输出的波形比较稳定,同时,便于安装和检查。虽然多了一个积分电路,但使其性能和稳定性增加。同时,通过方案一的电路可以很方便的输出三个波形的电路,实用效率高,同时,整体性和集成性强。经济性更好。 (2)方案二: 与方案一很相似,但其使用的是滤波电路来实现方波转化成正弦波。比较后这种电路比较经济实用,但由于滤波电路的使用取决于很多外部条件,同时,滤波电路的使用是整套方案不易于构成整体,相对方案一其稳定性和整体性集成性较低。 通过比较,我选择方案一。 二、单元电路的设计 1.方波发生电路 (1)核心元件的选择 555定时器: 由于使用了比较常见,但我们还没有接触到的555定时器,特做以说明 555定时器是一种多用途的数字-模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与变换、测量与控制等许多领域中都得到了应用。

DSP多波形信号发生器

数字信号处理(DSP) 综合设计性实验报告 学院:电子信息工程学院 班级:自动化 指导教师: 学生: 北京交通大学电工电子教学基地 2014年9月20日

目录 一实验目的 (3) 二实验技术指标与设计要求 (3) 三实验原理 (3) 四实验操作 (4) 五程序设计 (10) 六硬件输出演示 (16) 七实验感想与体会 (22) 八参考文献 (23)

一 实验目的 1 掌握多波形信号发生器的DSP 设计可使学生更加透彻的理解和应用奈奎斯特采样定理,提 高学生系统地思考问题和解决问题的能力。 2 通过对DSP 信号处理器及D/A 转换器的编程,可以培养学生C 语言编程能力以及使用DSP 硬件平台实现数字信号处理算法的能力。 3 学习并掌握使用DSP 产生正弦波、方波、三角波、锯齿波灯信号的原理和算法,并利用GEL 文件实现频率和幅度的自动可调。 4 掌握利用CCS 建立工程、编译与调试代码的基本过程,可以在软件中观察图形及变量,并利用硬件进行输出显示。 5 掌握产生多种波形的理论方法,并比较产生信号的两种主要方法(查表发和计算法)的优缺点。 二 实验技术指标与设计要求 1 基本部分 1) 使用DSP 产生300—16000Hz 的正弦、方波、锯齿波和三角波信号,输出信号的幅度从 0~1VRMS (有效值)。要求使用计算法,并且频率可变、幅度可变。 2) 调节信号的频率和幅度时不能中断程序的运行。(提示:可以使用CCS 下的GEL 语言实现此功能) 2 发挥部分 在实验板的信号输出端分别接入16欧姆和32欧姆负载电阻,信号仍然保持空载时所设定的 输出幅度。 三 实验原理 1 产生连续的波形的方法主要有以下两种方法: 1)查表法:把事先将需要输出的数据计算好,存储在DSP 中,然后依次输出就可以了。查表法的优点是速度快,可以产生频率较高的波形,而且不占用DSP 的计算时间;查表法的缺点是在于需要占用DSP 的内部的存储空间,尤其对采样频率比较大的输出波形,这样,需要占用的内部的空间将更大,而DSP 内部的存储空间毕竟有所限制。这使得查表法的应用场合十分有限。 2)计算法:计算法可以使用泰勒级数展开法进行计算,也可以使用差分方程进行迭代计算或者直接使用三角函数进行计算。计算结果可以边计算边输出,也可以先计算后输出。计算法的使用比查表法灵活。计算法的优缺点正好和查表法相反。即:其优点是不占用DSP 的存储空间,其缺点是占用DSP 的计算时间,使得执行程序的开销变大。 本实验将用第二种方法即计算法产生一个正弦波信号,从DA 输出。正弦函数和余弦函数的泰勒级数数学表达式为: =x sin +-+-+-+---)1(121 9753x x x x x x n n ,x ?),(∞-∞∈

基于CPLD的三相多波形函数发生器毕业设计论文

摘要 直接数字频率合成(Direct Digital Synthesis,DDS)是20世纪60年代末出现的第三代频率合成技术,该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成,它以可编程逻辑器件(CPLD)作为控制及数据处理的核心,可将波形数据用D/A转换器快速恢复。基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,同时大大提高输出信号的带宽。 整个设计采用MAX+ plus II开发平台,VHDL编程实现,基于可编程逻辑器件CPLD设计多波形信号发生器。用VHDL编程实现,其设计过程简单,极易修改,可移植性强。系统以CPLD为核心,采用直接数字合成技术,辅以必要的模拟电路,构成一个波形稳定,精度较高的函数信号发生器。系统的特色在于除晶体振荡器和A/D转换外,全部集成在一片CPLD芯片上,使系统大大简化。它可输出频率、幅度可调的正弦波、三角波、方波。另外由于CPLD具有可编程重置特性,因而可以方便地更换波形数据,且简单易行,带来极大方便。 关键词:信号发生器设计;三相;VHDL;CPLD;MAX+ plus II

ABSTRACT Direct digital frequency synthesize(DDFS) is a recently and rapidly developed technology which features high frequency resolution.This paper briefly introduces the basic principle of DDS. The basic principle and performance of CPLD chip.Then it mainly describes how to use CPLD chip to design a function generator of high accuracy.The principle of three-phase multi-signal generator based on CPLD and DDS technology is introduced.Based on these,the modules of CPLD design are given.The multi-wave signal generator is designed based on program-mable logical component CPLD.The VHDL programming realization and the MAX+ plus II development platform. Besides the crystal oscillator and the A/D transformation,the entire system completely integrates on the CPLD chip.The multi-wave signal generator may output the sine-wave,the triangle-wave,the square-wave.Then downloaded under the situation which the entire system hardware connects do not change,and finally output the special profile which user needs.The multi-wave signal generator generates wave which the conventional function signal generators can’t make.Moreover because of the programmable reset feature of the CPLD,the generator can change the wave data conveniently and practice easily.The whole design realizes by the VHDL programmer.Its design process has simple feature,easy modification and high transportation. Keywords:Signal Generator Design;Three-phase;VHDL;CPLD;MAX+ plus II

基于AD9850的信号发生器设计_毕业设计

基于AD9850的信号发生器设计 摘要 介绍ADI 公司出品的AD9850 芯片,给出芯片的引脚图和功能。并以单片机 AT89S52 为控制核心设计了一个串行控制方式的正弦信号发生器的可行性方案,给出了单片机AT89S52 与AD9850 连接电路图和调试通过的源程序以供参考。直接数字合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通讯等领域有着广泛的应用前景。系统采用AD9850为频率合成器,以单片机为进程控制和任务调度的核心,设计了一个信号发生器。实现了输出频率在10Hz~1MHz范围可调,输出信号频率稳定度优于10-3的正弦波、方波和三角波信号。正弦波信号的电压峰峰值V opp能在0~5V范围内步进调节,步进间隔达0.1v,所有输出信号无明显失真,且带负载能力强。该电路设计方案正确可行,频率容易控制,操作简单灵活,且具有广阔的应用前景。 关键词:信号发生器;直接数字频率合成;AD9850芯片;AT89S52单片机

Abstract On the basis of direct digital synthesis(DDS)principle, a signal generator was designed , using AT89S52 single chip machine as control device and adopting AD9850 type DDS device .Hardware design parameters were given .The system can output sine wave ,square wave with wide frequency stability and good waveform .The signal generator has stronger market competitiveness , with wide development prospect ,in frequency modulation technology and radio communication technology fields. Key words: signal generator ;direct digital synthsis;AD9850;AT89S52

简易波形发生器

摘要 波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。RC 桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。方波通过积分运算电路,整形为三角波。 关键词正弦波发生器/过零比较器/电压跟随器/正弦波/方波/三角波

目录 1方案设计 (1) 2 简易波形发生器原理级框图 (4) 2.1 基本原理 (4) 2.2 原理框图 (4) 3 正弦波发生电路 (5) 3.1 正弦波振荡器原理和结构 (5) 3.2 产生振荡的条件 (5) 3.2.1振荡平衡条件 (5) 3.2.2 振荡起振条件 (6) 3.3 RC选频网络 (7) 3.3.1 RC桥式振荡器电路 (7) 3.3.2 RC桥式振荡器的选频特性 (8) 3.3.3 电压跟随器 (9) 4 方波发生电路 (11) 4.1 迟滞比较器 (11) 4.2 方波产生原理 (12) 5 三角波的产生电路 (13) 5.1方波到三角波的转换原理 (13) 6 简易波形发生器的设计 (15) 6.1简易波形发生器的总原理 (15) 6.1.1 输出波形 (15) 6.1.2 频率范围 (16) 6.1.3 输出电压 (16) 6.1.4 显示输出波形的类型 (16) 7 设计总结与心得体会 (17) 致谢 (18) 主要参考文献 (19) 附录一:总原理电路图 (20) 附录二:元件清单 (21)

多波形函数信号发生器

多波形函数信号发生器

————————————————————————————————作者:————————————————————————————————日期:

电子课程设计 设计题目:多波型信号发生器 系部:信息工程学院 专业:电子信息工程 班级:1301班 学号:8 姓名:高旭 指导老师:陈亮

目录 一设计要求 (3) 二总体概要设计 (3) 三各单元模块设计与分析······························································································4 3.1 正弦波发生 器 (4) 3.1.1 RC桥式振荡 器····························································································4 3.2方波转化电 路 (6) 3.2.1555定时 片································································································6 3.2.2由555芯片构成的施密特触发 器 (7) 3.2.3方波幅度调节电 路 (8) 3.3三角波转化电路 (8) 3.3.1RC无源积分器 (8) 3.3.2自举电路反相放大器················································································9 四总电路图 (10)

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

多波形信号发生器设计 电子技术课程设计

湖南文理学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:通信工程08101班 学生姓名:林洪湖(200816020143) 指导教师:邱德润 完成时间:2010 年6月25日 报告成绩:

目录 1.绪论 (3) 信号发生器现状 (3) 2.系统设计 (3) 控制芯片的选择 (4) 3.硬件电路的设计 (4) 3.1基本原理: (4) 3.2各部分电路原理 (8) 4.软件设计 (14) 4.1主程序流程图 (14) 4.2子程序流程图 (15) 5.测试结论 (18) 5.1软件仿真结果 (19) 5.2硬件测试结果 (21) 参考文献 (21)

多波形信号发生器设计 1.绪论 1.1信号发生器现状 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 本次用要用到的有函数发生器5G8038、集成振荡器E1648、集成定时器555/556. 2.系统设计 2.1系统方案 方案:采用函数信号发生器5G8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

多种波形发生器_设计论文

《电子技术》 课程设计说明书 题目名称:多种波形发生器的设计姓名:xxx 学号:xxx 班级:xxx 指导教师:xxx 2013年1 月 4 日

摘要 波形发生器是一种能够产生大量标准信号和用户定义信号,具有高精度、可重复性、易操作性、对频率、幅值、相移、波形进行动态及时的控制的一类新型信号源。 本设计的设计方案是把滞回比较器和积分器首尾相接组成一个正反馈闭环系统,则比较器输出的方波经过积分器可得到三角波,三角波又触发比较器自动翻转形成法波;三角波—正弦波的转换电路主要由差分放大电路来完成,差分放大电路具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器,可以有效抑制零点漂移,因此可以将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 关键词:信号源;滞回比较器;积分器;波形发生器 多种波形发生器的设计背景 波形发生器是随着众多领域对于复杂的、可由用户定义的测试波形的需要而形成和发展起来的,它的主要特点是可以产生任何一种特殊波形,输出信号的频率、电平以及平滑低通滤波的截至频率也可以作到程序设置,因此在机械性能分析、雷达和导航、自动测试系统等方面得到广泛的应用。而对AWG的控制、数据传输、输出信号的频率和电平设置都可以通过微机打印口在EPP工作模式下设计完成。这样不仅具有设计简单,占用微机资源较少的优点,而且操作简单,使用方便,易于硬件升级。 波形发生器是能够产生大量的标准信号和用户定义信号,具有高精度、可重复性、易操作性、连续的相位变换和频率稳定性,还可以对频率、幅值、相移、波形进行动态及时的控制。随着不断进步的计算机技术和微电子技术在测量仪器中的应用而形成和发展起来的一类新型信号源。

实验四 多种信号音及铃流信号发生器

学院:专业:班级:

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4—6是该电路的原理图。 图4—6忙音控制电路的原理图。

图4—7铃流信号发生电路的原理图 上述四种信号在本实验系统中均有具体的电路实现,然而在程控交换机中,信号音还不止上述几种,在此做一简单介绍,不作实验要求。 1、数字程控交换原理实验箱 2、电话机 F=25hz,Vpp=2.0V

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

相关文档
最新文档