北邮模电简易电子琴实验报告完整版
北邮,单片机,实验报告,电子琴
北邮,单片机,实验报告,电子琴北邮单片机实验报告简易电子琴2014年小学期单片机设计实验报告题目:基于单片机的电子音乐发生器班级:班内序号:实验组号:学生姓名:指导教师:基于单片机的电子音乐发生器实验摘要此次本组制作的基于PIC单片机的电子音乐发生器是具有LCD显示屏提示的音乐简单演奏、播放、存储等功能的演示作品,拥有以下4种功能:1.按键演奏:即“电子琴”功能,可以用键盘上的“1”到“A”键演奏从低音sol到高音do等11个音;2.点歌功能:即按动“B”“C”键分别演奏两首乐曲(可以表现准确的音高和音长)。
3.存储音乐功能:即按右下角“F存储”键,然后按键演奏并存储,随后按“E”键结束,之后按“D键”就可以播放存储的乐曲了;4.液晶显示功能:即在开始时显示“hello!”,在点播时分别显示“song b”、“song c”,在存储时显示“saving”。
电子音乐发生器采用以Microchip公司的PIC16F877芯片为核心的简单控制系统,外部电路连接有喇叭、键盘、LCD液晶显示屏以及其他必要系统调节元件。
软件设计中涉及PORTB\PORTC\PORTD\PORTE用作普通数字I/O脚功能。
本实验用单片机PORTB\D接收来自键盘输入的指令信息,由此确定LCD液晶屏幕显示以及喇叭播放内容,再通过PORTC\D\E输出声音或字幕信息。
关键字单片机——microcontroller芯片——CMOS chip音乐发生器——music generator 分频——fractional frequency 一、实验论证与比较本设计的核心器件是单片机芯片和音频功放芯片。
单片机采用以Microchip公司的PIC16F87X系列中的PIC16F877芯片为核心构建简单控制系统,它完全可以满足本设计功能的需要。
此音乐发生器设计利用单片机的输入输出功能,当按下播放功能键时,单片机的输出功能使外部电路连接的喇叭和LCD液晶显示屏同时播放声音及显示文字,从而实现各种复杂音乐播放器的功能。
电路综合实验实验报告 基于Amage16 电子琴 北邮
2013-2014学年小学期电路综合实验实验报告基于单片机ATmega16电子琴的设计与实现姓名:班级:学号:班内序号:同组:EMAIL :组号:北京邮电大学时间:2013年09月12日目录1.实验概要1.1摘要1.2关键词1.3实验目的2.前期基础实验3.原理图和流程图.及效果图4.程序分析5.调试排错过程6.心得体会7.小组分工8.参考文献1 实验概要1.1 摘要本实验是基于单片机A Tmega16制作的建议电子琴。
通过键盘的控制可以播放预置歌曲以及自行弹奏音符这两个主要功能以及变速,录放音,变调等其他的次要功能,通过数码管和二极管的发光来显示音调和当前模式。
1.2 关键词avr单片机电子琴弹奏存储播放1.3 实验目的(1)对单片机有初步的了解,了解ATmega16单片机的各个端口管脚以及其逻辑功能。
(2)熟悉A VR studio,GCC等软件的编译环境,进行软件仿真。
(3)了解各个模块的作用以及用法,如4*4键盘,喇叭等。
(4)通过对于上述的了解,设计出简易电子琴并实现若干功能。
2 前期基础实验代码:#include<avr/io.h>int main(void){char temp;unsigned int i,j;DDRD = 0xff;PORTD = 0b11100110;while(1){temp = PORTD;PORTD = PORTD << 1;if(temp & 0b10000000)PORTD |= 0b00000001;for(i=0;i<500;i++)for(j=0;j<1000;j++);}}#include<avr/io.h>#include<avr/interrupt.h>char shuma[10]={~0b10111011,~0b10100000,~0b01101011,~0b11101010,~0b11110000,~0b11011010,~0b11011011,~0b10101000,~0b11111011,~0b11111010,};volatile unsigned int cnt=0,i=0,j=0,m=0,p=0;int main(void){DDRD = 0xff;DDRB = 0xff;MCUCR = 0;MCUCR |=(1<<ISC10) | (1<<ISC00)| (1<<ISC01);GICR |= (1<<INT0) | (1<<INT1);sei();TCNT0 = 5;TCCR0 |=(1<<CS01);while(1);}SIGNAL(SIG_INTERRUPT0){i=0;j=0;m=0;p=0;TIMSK |= (1<<TOIE0);}SIGNAL(SIG_INTERRUPT1){TIMSK &= ~(1<<TOIE0);PORTD = 0b10000000;PORTB = shuma[i];for(int n=0;n<500;n++);PORTD = 0b01000000;PORTB = shuma[j];for(int n=0;n<500;n++);PORTD = 0b00100000;PORTB = shuma[m]&(~(1<<2));for(int n=0;n<500;n++);PORTD = 0b00010000;PORTB = shuma[p];for(int n=0;n<500;n++);}SIGNAL(SIG_OVERFLOW0){TCNT0 = 5;cnt++;if(cnt>60){cnt=0;PORTD = 0b10000000;PORTB = shuma[i];for(int n=0;n<500;n++);PORTD = 0b01000000;PORTB = shuma[j];for(int n=0;n<500;n++);PORTD = 0b00100000;PORTB = shuma[m]&(~(1<<2));for(int n=0;n<500;n++);PORTD = 0b00010000;PORTB = shuma[p];for(int n=0;n<500;n++);i++;if(i==10){i=0;j++;}if(j==10){j=0;m++;}if(m==10){m=0;p++;}if(p==6)p=0;}}3 原理图及实物图4 程序分析#include<avr/io.h>#include<avr/interrupt.h>#include<avr/iom16.h>unsigned char anjian = 0;//获取按键的int speed=20;//控制播放速度unsigned int music_L[7]={262,294,330,349,392,440,494};//存放低八度音阶频率unsigned int music_C[7]={524,588,660,698,784,880,988};//存放正常C大调音阶频率unsigned int music_H[7]={1047,1175,1319,1397,1568,1760,1976};//存放高八度音阶频率unsigned int music[7]={524,588,660,698,784,880,988};//存放正常C大调音阶频率并且在变调时将其他调的频率写入该数组unsigned int musicmem[100]={}; //储存弹奏的音乐频率unsigned int tune[100]={}; //储存弹奏的音乐的某个音符音长int flag=0; //音长char display[11]={0,0b00000110, //数码管显示10b01011011, //数码管显示20b01001111, //数码管显示30b01100110, //数码管显示40b01101101, //数码管显示50b01111101, //数码管显示60b00000111, //数码管显示70b01111111, //数码管显示80b01101111, //数码管显示90b00111111, //数码管显示0};//控制8段数码管显示void init()//初始化{DDRD |=0x30;//发声DDRA = 0xf0;//按键DDRB = 0xff;//8段数码管DDRC = 0xff;//8段数码管speed = 20;//播放速度}void delay(int ms)//延迟函数单位为毫秒,用于在按键按下后提供延迟响应滤除误按的影响。
北邮大三数电实验电子琴
数字电路与逻辑设计实验报告实验名称: 基于VHDL的电子琴演奏器实现学院: 信息与通信工程学院班级:姓名:学号:任课老师:日期:2012年11月目录一.任务要求 (2)1、基本要求 (2)2、提高要求 (2)二、原理概述 (2)三、系统设计 (3)1、基础功能 (3)2、拓展功能1——自动播放 (6)3、拓展功能2——储存音符并可自动播放所存字符 (8)4、全部功能实现 (8)四、波形仿真及波形分析 (11)五、源程序 (15)1、FENPINXISHU (15)2、FENPIN (16)3、BEEP (16)4、OUTPUT (17)5、YINFUFENPIN (21)6、JISHU (21)7、JIANPU (22)8、MIAOFENPIN (24)9、JILU (25)10、SHUJUXUANZEQI (26)11、TP (27)六、功能说明 (30)七、元器件清单及资源利用情况 (30)八、故障及问题分析 (31)九、总结和结论 (31)一.任务要求设计制作一个简易电子琴演奏器。
1、基本要求(1) 用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。
其中点阵的第一列用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。
1 2 3 4 5 6 7图1 点阵显示的电子琴键盘(2) 用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 5 6 7”七个音符。
当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复,蜂鸣器停止声音的输出。
下图所示为按下BTN3按键时点阵的显示情况。
1 2 3 4 5 6 7图2 按键按下后的点阵显示a、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。
b、通过按键BTN0进行复位,控制点阵显示图1的初始状态。
简易电子琴设计报告(完整版)
中南民族大学课程设计题目简易电子琴学院计算机科学学院专业班级姓名指导教师2010 年 6 月23 日电子技术课程设计任务书设计题目:简易电子琴学生姓名:专业班级:学号:指导教师签名:年月日一、设计条件1.可选元件(或自备元件):运放:若干功放:若干电阻、电容、电位器:若干2.可用仪器:万用表,示波器,毫伏表,信号发生器,直流稳压源二、设计任务及要求1.设计任务根据技术要求和已知条件,完成对简易电子琴电路的设计、装配和调试。
2.设计要求(1)按下不同琴键即改变RC 值,能发出C 调的八个基本音阶。
采用运放构成振荡电路,用集成功放电路输出。
八个基本音阶C 调对应频率如下表所列:C 调 1 2 3 4 5 6 7 i f 0 / Hz264297330352396440495528(2)选择电路方案,完成对确定方案电路的设计。
计算电路元件参数,选择元件,画出总体电路原理图,阐述基本原理。
(用Proteus 或MultiSim 软件完成仿真)(3)安装调试并按规定格式写出课程设计报告书。
三、时间安排1.第10周:布置设计任务,讲解设计要求、实施计划、设计报告等要求。
完成选题。
2.第10 ~ 14周:完成资料查阅、设计、仿真。
3.第15 ~ 16周:制作和调试,完成课程设计报告撰写。
4.第16 ~ 17周:提交课程设计报告,课程设计验收,答辩。
目录摘要 (3)关键词 ....................................................................................................................................... 3 1 绪论 ....................................................................................................................................... 3 2 技术指标及要求 . (3)2.1 设计任务及要求 ........................................................................................................ 3 2.2 设计思想 .................................................................................................................... 4 3 方案论证及整体电路工作原理 . (4)3.1 方案论证 .................................................................................................................... 4 3.2 整体电路工作原理 .................................................................................................... 5 4 单元电路设计:计算,元器件选择及电路图 . (5)4.1 RC 串并联选频网络电阻的选择 ................................................................................ 5 4.2 滤波电容的选择 ........................................................................................................ 6 4.3 电路图如下所示 ........................................................................................................ 7 5 Multisim 仿真结果显示 ......................................................................................................... 7 6课程设计成果展示: .......................................................................................................... 10 7元件及器件明细 .................................................................................................................. 11 8成果评价,设计特点,存在的问题及改进意见 .. (11)8.1 成果放入评价: (11)正弦波 发生器 功率 放大 扬 声器八只 按键8.2 本次设计的特点 (11)8.3存在的问题和改进的意见 (12)9设计总结: (12)10附录: (12)摘要简易电子琴一般是由正弦波产生电路,功率放大电路和滤波电路组成。
简易电子琴模拟电路课程设计报告
摘要随着社会的发展进步,音乐已成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。
在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。
简易电子琴主要是由8个按键控制,根据固定电阻的不同,从而产生不同的振荡频率,并且将信号放大后由扬声器输出声音。
为了能得到频率不同的波,波形产生部分首先使用了NE555芯片,从而得到振荡的正弦波;将信号传给LM386进行功率放大,使扬声器发出相应的音阶。
分块调试测试电子琴,先是震荡电路的线路测试,再是功率放大电路的测试。
经过调试之后,焊接而成的作品能产生8个音调的不同振荡频率的音阶。
关键词:NE555 LM386 频率电子琴目录第一章设计任务........................................................................ - 3 -1.1设计要求....................................................................................................................... - 4 -1.2设计目的....................................................................................................................... - 4 -1.3总体思想构图............................................................................................................... - 4 - 第二章系统组成及工作原理........................................................- 8 -2.1 NE555简介................................................................................................................... - 8 -2.2逻辑符号....................................................................................................................... - 9 -2.3 NE555内部原理图..................................................................................................... - 10 -2.4逻辑功能..................................................................................................................... - 11 -555定时器逻辑功能................................................................................................. - 11 -2.5 LM386芯片介绍....................................................................................................... - 13 -2.5.1 外形、管脚排列及内电路.......................................................................... - 13 -2.5.2 LM386主要性能指标..................................................................................... - 14 -2.6 简易电子琴系统组成................................................................................................ - 15 -2.6.1 按键模块........................................................................................................ - 15 -2.6.2音调发生模块................................................................................................. - 15 -2.6.3音响模块......................................................................................................... - 15 -2.7 简易电子琴的工作原理............................................................................................ - 15 - 第三章模块定路设计与参数计算............................................ - 17 -3.1波形发生部分............................................................................................................. - 17 -3.2功率放大部分............................................................................................................. - 17 - 第四章系统调试........................................................................ - 20 -4.1 调试步骤.................................................................................................................. - 20 -4.2 调试过程.................................................................................................................. - 20 -4.3 调试结论.................................................................................................................. - 21 - 参考文献 ...................................................................................... - 22 - 附录 .............................................................................................. - 23 -附录一:元器件清单.......................................................................................................... - 23 - 附录二电路仿真.............................................................................................................. - 25 - 附录三制作作品原图.................................................................................................... - 29 -前言现在是信息高速发达的时代,了解一定的电子产品是相当必要的。
北邮数电实验电子琴
VHDL硬件描述语言程序设计简易电子琴演奏器姓名:chi目录一、设计课题的任务要求 (3)二、系统设计 (3)三、仿真波形及波形分析 (11)四、源程序 (12)五、功能说明 (41)六、元器件清单及资源利用情况 (41)七、故障及问题分析 (43)八、总结和结论 (44)一、设计课题的任务要求基本要求:1、用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。
其中点阵的第一列用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。
图1 点阵显示的电子琴键盘2、用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 56 7”七个音符。
当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复,蜂鸣器停止声音的输出。
下图所示为按下BTN3按键时点阵的显示情况。
图2 按键按下后的点阵显示3、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。
4、通过按键BTN0进行复位,控制点阵显示图1的初始状态。
提高要求:1、可通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加自动演奏乐曲的功能。
2、增加手动演奏的音符存储、播放功能。
二、系统设计1.设计思路简易电子琴的制作主要是利用不同频率的波来驱动蜂鸣器发出声响。
通过输入不同的音符来设置不同的分频系数,使得50MHz的主频分频出不同频率的波。
同时,演奏的音符还可以通过数码管和8*8点阵来动态显示。
根据系统设计要求,该电子琴设计采用自顶向下的设计方法。
整体的功能通过不同的底层模块配合来完成电子琴的功能。
底层模块主要包括乐曲自动演奏模块、分频预置值产生模块和数控分频模块,数码管显示模块,8*8点阵显示模块五部分组成。
用这种设计思路把整个系统分为了若干个模块,然后再在顶层文件中将各个模块组合在一起,从而体现出超、高速硬件描述语言VHDL的优势,关于提高要求中通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加自动演奏乐曲的功能,我打算将一首曲子的音符储存在自动播放的数组里面,然后通过计数器来顺序播放储存的音符。
北邮模电综合实验-简易电子琴的设计与实现
电子测量与电子电路实验课程设计题目: 简易电子琴的设计和制作姓名孙尚威学院电子工程学院专业电子信息科学与技术班级2013211202学号2013210849班内序号04指导教师陈凌霄2015年4 月目录一、设计任务与要求 (3)1.1 设计任务与要求 (3)1.2 选题目的与意义 (3)二、系统设计分析 (3)2.1系统总体设计 (3)2.2 系统单元电路设计 (4)2.2.1 音频信号产生模块 (4)2.2.2 功率放大电路 (7)2.2.3 开关键入端(琴键) (8)三、理论值计算 (9)3.1 音阶频率对应表 (9)3.2 键入电路电阻计算 (9)四、电路设计与仿真 (10)4.1 电路设计 (10)4.2 Multisim仿真 (11)五、实际电路焊接 (11)六、系统调试 (13)6.1 系统测试方案 (13)6.2 运行结果分析 (14)七、设计体会与实验总结 (15)一、设计任务与要求1.1 设计任务与要求了解由555定时器构成简易电子琴的电路及原理。
设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。
1.2 选题目的与意义(1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。
(2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。
(3)学习调试电子电路的方法,提高实际动手能力。
了解由555定时器构成简易电子琴的电路及原理。
二、系统设计分析2.1系统总体设计由555电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC元件的数值进行改变。
根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的规律依次将不同值的RC组件接入振荡电路,就可以使振荡电路按照设定的需求,有节奏的发出已设定的音频信号,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生音符。
北邮单片机实验报告简易电子琴资料
2014年小学期单片机设计实验报告题目:基于单片机的电子音乐发生器班级:班内序号:实验组号:学生姓名:指导教师:基于单片机的电子音乐发生器实验摘要此次本组制作的基于PIC单片机的电子音乐发生器是具有LCD显示屏提示的音乐简单演奏、播放、存储等功能的演示作品,拥有以下4种功能:1.按键演奏:即“电子琴”功能,可以用键盘上的“1”到“A”键演奏从低音sol到高音do等11个音;2.点歌功能:即按动“B”“C”键分别演奏两首乐曲(可以表现准确的音高和音长)。
3.存储音乐功能:即按右下角“F存储”键,然后按键演奏并存储,随后按“E”键结束,之后按“D键”就可以播放存储的乐曲了;4.液晶显示功能:即在开始时显示“hello!”,在点播时分别显示“song b”、“song c”,在存储时显示“saving”。
电子音乐发生器采用以Microchip公司的PIC16F877芯片为核心的简单控制系统,外部电路连接有喇叭、键盘、LCD液晶显示屏以及其他必要系统调节元件。
软件设计中涉及PORTB\PORTC\PORTD\PORTE用作普通数字I/O脚功能。
本实验用单片机PORTB\D接收来自键盘输入的指令信息,由此确定LCD液晶屏幕显示以及喇叭播放内容,再通过PORTC\D\E输出声音或字幕信息。
关键字单片机——microcontroller 芯片——CMOS chip音乐发生器——music generator 分频——fractional frequency一、实验论证与比较本设计的核心器件是单片机芯片和音频功放芯片。
单片机采用以Microchip公司的PIC16F87X系列中的PIC16F877芯片为核心构建简单控制系统,它完全可以满足本设计功能的需要。
此音乐发生器设计利用单片机的输入输出功能,当按下播放功能键时,单片机的输出功能使外部电路连接的喇叭和LCD液晶显示屏同时播放声音及显示文字,从而实现各种复杂音乐播放器的功能。
院校资料-北邮数字逻辑课程设计_简易电子琴
北邮数字逻辑课程设计_简易电子琴简易电子琴实验报告综合设计:程序编写:实验报告撰写:北京邮电大学计算机学院某人一.实验目的1.掌握较复杂逻辑的设计和调试。
2.掌握用VHDL语言设计数字逻辑电路。
3.掌握ispLEVER软件的使用方法。
4.掌握ISP器件的使用。
5.用途: 有电子琴的基本功能,可弹奏出简单的乐曲。
二.实验器材1.在系统可编程逻辑器件1032E2.示波器3.逻辑测试笔4.TEC-5实验系统三.实验容设计一个简易电子琴,有两种模式,既可以音阶弹奏,也可以自动播放乐曲。
要求音阶弹奏的时候,可以弹奏高音低音一共14个不同音符,自动播放乐曲的时候,要求可以按一定节奏自动播放一首预存在系统中的乐曲。
四.实验设计原理从试验系统外部接入一个时钟信号,对时钟信号进行分频,可以得到不同分频的脉冲信号。
当这个分频值设定为一定的数值时,就可以使试验系统的喇叭发出不同的音符,从而完成音阶演奏。
自动演奏时,除了对外部时钟进行分频得到不同的音符外,还需要通过时钟信号对节拍进行控制,设置该音乐最短的音符为基本单位,一步一步往后执行,根据某个音符的节拍数来确定执行时间,从而可以按照节奏将一段音乐自动演奏出来。
五.设计方案1.一共有14个琴键,表示中音的1-7到低音的1-7,按照电子琴的排列布局来安排琴键位置。
2.根据不同音符的频率,用多模计数器对时钟信号进行分频,得到特定的脉冲信号,为了使信号稳定,需要对其进行翻转得到2分频信号再输出。
3.综合原理图4.外部输入50kHz的时钟信号,根据下表用多模计数器对时钟信号进行分频,得到分频系数。
六.程序实现*********************************实体部分************************************* library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity Orgen isport(moderm:in std_logic;--模式选择,0为音阶自演奏模式,1为自动播放模式clk:in std_logic;--时钟信号Index:in std_logic_vector(13 downto 0);--琴键选择信号,1表示选择摸个琴键,共有14个琴键,可以选择14个音,分别是低音1-7和中音1-7 Code:out std_logic_vector(6 downto 0);--七段发光二极管编码,用于显示弹奏的音符high:out std_logic;--如果是中音,小灯亮,反之不亮tone0:inout integer range 0 to 2047;--分频系数result:inout std_logic--输出音频信号);end Orgen;*******************************结构体部分************************************architecture Orgen_Player of Orgen is--程序使用的是50kHz的时钟信号beginSearch:process(Index,clk,moderm)--Search进程,根据琴键选择信号得到不同的分variable step:integer range 0 to 2000;variable count2:integer range 0 to 2000;begin***************************音阶演奏模式部分********************************** if Moderm='0' then--手动音阶演奏模式case Index iswhen "00000000000001"=> tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0 tone0键信号,分配了不同的分频系数,为不同音名的发光二极管编码,同时调整高低音显示信号end case;end if;******************************自动演奏部分************************************ if (moderm='1') and (clk'event and clk='1') then--自动演奏模式--tone0防止影响if count2count2:=count2+1;--1秒输出1拍elsecount2:=0;case step iswhen 0=>tone0step:=step+1;when 1=>tone0step:=step+1;when 2=>tone0step:=step+1;when 3=>tone0step:=step+1;tone0step:=step+1;when 5=>tone0step:=step+1;when 6=>tone0step:=step+1;when 7=>tone0step:=step+1;when 8=>tone0step:=step+1;when 9=>tone0step:=step+1;when 10=>tone0step:=step+1;when 11=>tone0step:=step+1;when 12=>tone0step:=step+1;when 13=>tone0step:=step+1;when 14=>tone0step:=step+1;when 15=>tone0step:=step+1;--step不同,表示乐曲演奏到不同的位置,赋予不同的分频系数,然后step+1end case;end if;end if;end process;**************************发生进程,控制喇叭发声****************************** Made_Code:process(clk)--发声进程,发出不同的音频variable count1:integer range 0 to 2000;beginif clk'event and clk='1' thenif count1count1:=count1+1;--事先查好每个音名的频率,根据音频系数进行分频,得到是正确频率两倍的音elsecount1:=0;result音频信号end if;end if;end process;end Orgen_Player;七.基于QuartusII9.0的仿真实现1.仿真电路图2.仿真波形图八.基于TEC-5试验系统的实现效果1.音阶模式下,可以根据琴键的输入演奏低音1-7和中音1-7一共14个音符。
北邮小学期基于AVR单片机的电子琴制作实验报告
小学期单片机实验报告姓名:班级:学号:同组姓名:EMAIL:时间:2013/9/16-2013/9/27基于AVR单片机的电子琴制作目录基于AVR单片机的电子琴制作 (2)简介 (3)1.1课题名称 (3)1.2摘要 (3)前期准备 (3)2.1流水灯 (3)2.1.1 功能 (3)2.1.2 程序代码 (3)2.2蜂鸣器/按键蜂鸣器 (4)2.2.1 功能 (4)2.2.2 程序代码 (4)2.3 秒表计时器 (6)2.3.1 功能 (6)2.3.2 程序代码 (6)有关发声的基础知识 (8)设计过程 (8)4.1设计流程 (8)4.2 实验元器件 (9)4.3实验程序主要流程图 (9)4.4实验原理及原理图 (10)4.4.1实验原理 (10)4.4.2 原理图 (10)4.4.3各模块的设计与详解: (11)程序源代码及程序分析 (13)实验结果 (32)6.1 操作过程 (32)6.2 实验结果 (33)排错过程 (33)心得体会 (34)参考文献 (35)意见与建议 (35)简介1.1课题名称简易电子琴1.2摘要本实验设计制作一个基于单片机Atmega16的模拟电子琴。
能够通过键盘控制实现播放预存检测音乐,弹奏音符,储存弹奏音乐并播放,暂停及停止播放,上一曲下一曲等功能。
关键词:单片机,按键检测,预存播放,弹奏,储存,播放控制,可视化操作界面,电子琴前期准备在之前的学习中我们从未学习单片机,所以在确定选题前需要一定的学习和练习。
我们小组一共练习了3个工程:流水灯、按键蜂鸣器和秒表计时器,学会了使用AVR studio工作环境和WINAVR(GCC)编译器。
并且学会了下载程序到板上。
学会了I/O端口初始化、输入输出操作,通过端口控制LED序列、8段数码管、蜂鸣器,中断和定时的使用。
2.1流水灯2.1.1 功能学会I/O端口的初始化及通过端口控制LED,使得LED呈现流水灯效果,我们小组用的PB端口作为控制LED的端口,并且由于人眼频率和单片机频率的关系加了延迟函数,使得流水灯每个为1s2.1.2 程序代码int main(void){char temp; //用来存储当前A端口的输出unsigned int i,k; //循环变量DDRA=0xff; //将A端口设为输出PORTA=0b00000001; //初始化A端口,最开始第一个灯亮while(1) //主循环{temp = PORTA;PORTA=PORTA<<1;if(temp&0b10000000) //这段代码使得,最后一个等亮起后,又从第一个亮起{PORTA=PORTA | 0b00000001;}for(i=0;i<50;i++) //延时,约1秒钟{for(k=0;k<1000;k++);}}}2.2蜂鸣器/按键蜂鸣器2.2.1 功能按键蜂鸣器主要为了了解按键的使用,并且学会中断的应用2.2.2 程序代码蜂鸣器:#include <avr/io.h>int main(void){unsigned int i,k; //循环变量DDRB|=(1<<3); //对B端口的设置,使得pd3设置为输出PORTB &=~(1<<3); //初始时蜂鸣器不响while(1){PORTB ^=(1<<3); //设置蜂鸣器每隔一秒响一次for(i=0;i<50;i++){for(k=0;k<1000;k++);}}}按键蜂鸣器:#include<avr/io.h>int main(void){DDRA &=~(1<<6); //按钮6的设置PORTA |=(1<<6);DDRA &=~(1<<7); //按钮7的设置PORTA |=(1<<7);DDRA &=~(1<<2); //按钮2的设置PORTA |=(1<<2);DDRA &=~(1<<3); //按钮3的设置PORTA |=(1<<3);DDRB |=(1<<3);//蜂鸣器输出PORTB &=~(1<<3);DDRD =0xff;//灯的输出while(1){switch(PINA &0b11001100){case 0b11001100: //K1被按下{PORTD|=(1<<0); //LED0发光break;}case 0b11000000: //K2被按下{PORTD|=(1<<1); //LED1发光break;}case 0b10001000: //K3被按下{PORTD|=(1<<2); //LED2发光break;}case 0b01001000: //K4被按下{PORTB |=(1<<3); //蜂鸣器鸣响break;}default:{PORTB &=~(1<<3); //关蜂鸣器PORTD=0; //关灯break;}}}}2.3秒表计时器2.3.1 功能利用两位数码管,实现秒表计时的功能2.3.2 程序代码#include <avr/io.h>#include <avr/interrupt.h>int scandata[10]= //显示0—9个数的数组{0b01111111,0b00011001,0b10111110,0b10111011,0b11011001,0b11101011,0b11101111,0b00111001,0b11111111,0b11111011,};int main(void){DDRA=0xff;DDRB=0xff;DDRD=0b11110111;PORTA=0b00000001;PORTD=(1<<3);PORTB=0b00001000;MCUCR|=(1 << ISC11); //设置INT1中断GICR|=(1 << INT1);sei();unsigned int i,j,k,x,y,tmp;TCCR0|=(1<<CS01);while(1){for(i=0;i<60;i++){x=i/10,y=i%10; //用取商和取余来显示计数的数字PORTD=scandata[x];PORTB=scandata[y];tmp=PORTA; //流水灯的显示PORTA=PORTA << 1;if(tmp & (1<<7)){PORTA|=1;}TCNT0=55;for(k=0;k<3;k++) //一秒的延时for(j=0;j<10000;j++){while(!(TIFR & (1<<TOV0)));TCNT0=55;}}}}int cnt1,cnt2;SIGNAL(SIG_INTERRUPT1) //中断服务程序{while(1){for(cnt2=0;cnt2<100;cnt2++)for(cnt1=0;cnt1<20000;cnt1++);if(!(PIND & (1<<3)))//第二次按下按钮break;}}有关发声的基础知识声波是振动产生的。
简易电子琴课程设计报告超详细
广州大学机械与电气工程学院电子信息工程系课程设计报告课程名称:电子技术课程设计设计题目:简易电子琴专业班级:电子信息工程 2 班设计者:苏伟强学号:1507400051 1407400106 指导教师:秦剑彭绍湖设计所在学期:2016~2017学年第 2 学期设计所在时间:2014年7月6日-12日地点: 电子信息实验楼314 315目录一课程设计题目 (3)1 题目分析理解二设计任务及要求 (3)1 要求2 任务安排3 进度安排三电路设计 (4)1 方案论证2 单元电路设计与数据分析2.1 文氏桥正弦波震荡电路2.2 LM386组成的功率放大电路3 确认理论参数四电路仿真............................................................................. (13)1 multisim仿真图2 仿真结果3 误差分析及总结五元器件的选择 (19)1 元件分析1 元件清单六PCB设计...........................................................................................................................错误!未定义书签。
01 原理图设计2 选择封装3 生成PCB七制作与调试 (22)1 电路板的热转印,焊接元器件2 故障排除并且接通电源3 调试过程4 数据记录和分析八试验中遇到的问题 (25)1 仿真过程遇到的问题2 制作PCB遇到的问题3 电路调试的时候遇到的问题九心得体会 (26)十参考文献............................................................................. . (27)附录:1实物图附录:2 元件清单一课程设计题目1 题目分析理解在众多的题目里面我们选择“简易电子琴”作为我们课程设计的课题。
电子琴设计制作完整实验报告
简易电子琴的设计和制作实验报告撰写人:______学号:指导老师:张君毅院系:信息与通信工程学院目录一、设计任务与要求1.1 设计任务与要求1.2 选题目的与意义二、设计和电路2.1 设计思路2.2?总体结构框图2.3?分块电路和总体电路的设计三、实现功能3.1?已完成的功能3.2?主要的测试数据必要的测试方法3.3?必要的测试方法四、故障及问题分析五、总结和结论单位名称:北京邮电大学六、器件清单撰写时间:二零一七年三月二十七日七、仿真原理图及波形图八、参考文献九、附件9.1 《模拟综合实验过程考核统计表》9.2 《实验频率及功率记录表》摘要本实验以设计并制作出简易电子琴为目的,通过对电子琴信号的产生和功率放大方面的研究和实践,可对实际电子琴的音色、音质方面的改进起到一定的探索和促进作用。
在这个实验中,我们需要建立系统的概念,培养综合应用电子电路及知识的能力,学习小型电子系统的设计、安装和调试方法。
最终需要培养我们的工程实践技能和排除故障的能力。
我通过该试验完成了简易电子琴的设计与制作,达到了实验要求的频率和功率,并想法设法改良了音色,最终的实验效果不错。
关键词:简易电子琴、运算放大电路、多谐振荡器、频率一、设计任务与要求1.1?设计任务与要求了解由555定时器构成简易电子琴的电路及原理。
设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。
基本要求:能演奏两个八度音阶。
提高要求:将音阶扩大到再降八度,进一步提高输出功率到0.5w。
1.2 选题的目的与意义(1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。
(2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。
?(3)学习调试电子电路的方法,提高实际动手能力。
简易电子琴实验报告
北京邮电大学课题名称:简易电子琴的设计和制作学院:信息与通信工程学院专业:信息工程班级:2014211126姓名:李家威学号:2014210691班内序号:10指导老师:王丹志一、摘要及关键字本课程设计以制作出一个简易电子琴为最终目的。
该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。
由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。
关键字:电子琴振荡电路运算放大器二、设计任务及要求了解由555定时器构成简易电子琴的电路及原理。
设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。
三、设计思路、总体结构框图设计思路555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。
只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。
它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。
由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。
根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。
总体结构框图四、分块电路和总体电路的设计分块电路:琴键端(开关、电阻)NE555电路:LM386电路:总体电路:五、所实现功能说明基本功能:通过按下不同的按键开关,能够演奏出两个八度音阶。
扩展功能:将音阶扩大到在降低八度,能演奏出低中高三个八度音阶 并将高音“7”输出功率提高到0.5W 以上。
主要测试数据:各音阶实际频率与对应阻值220nF注:对应电阻为万用表测得电阻。
简易电子琴-模电课设报告
课程设计说明书课程设计名称:模拟电路课程设计课程设计题目: 简易电子琴学院名称:南昌航空大学信息工程学院专业: 通信工程班级:ﻩﻩ学号: ﻩﻩﻩ姓名:ﻩ评分:教师:2013 年3月11 日模拟电路课程设计任务书2012-2013 学年第2学期第 1 周-3周题目简易电子琴内容及要求①产生e调8个音阶的振荡频率,分别由1、2、3、4、5、6、7、0号数字键控制;②其频率分别为:1:261.6、2:293.6、3:329.6、4:349.2、5:392.0、6:440.0、7:439.9、0:523;③利用集成功放放大该信号,驱动扬声器;④设计一声调调节电路,改变生成声音的频率。
进度安排第1周:查阅资料,到机房学习仿真软件,确定方案,完成原理图设计及仿真;第2周:领元器件、仪器设备,制作、焊接、调试电路,完成系统的设计;第3周:检查设计结果、撰写课设报告。
学生姓名:指导时间:周一、周三、周四下午指导地点:E楼311室任务下达2013 年2月25日任务完成2013 年3月15日考核方式1.评阅错误!2.答辩□ 3.实际操作错误!4.其它□指导教师系(部)主任注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。
2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。
摘要在这个经济繁荣的社会,高端技术已经琳琳树立,例如电子琴就广受消费者的喜爱。
就此次设计的简易电子琴,它的基本设计要求是由NE555产生频率振荡,再通过控制琴键,即改变RC值从而改变频率控制音阶,再由集成功放电路输出。
在按动对应音阶的按键后电子琴能相应发出0、1、2、3、4、5、6、7八个音阶,能够演奏简单的音乐。
关键字:NE555、功放电路、频率、电子琴目录前言 (1)第一章设计原理及系统组成·········································21.1 设计方案 (2)1.2 设计原理 (2)第二章功能模块设计及系统仿真·····································42.1模块功能···················································42.2输入端·····················································42.3 555振荡器电路 (4)2.4功率放大电路 (5)2.5电路仿真结果 (7)第三章系统调试与分析 (9)第四章结论······················································10参考文献 (11)前言电子琴最早是由美国发明家于上世纪20年代末发明,并于30年代制造投放市场的。
北邮小学期AVR单片机电子琴实验报告
小学期AVR单片机实验报告实验题目:基于ATmega16L单片机的电子琴设计学生姓名:学渣班级:2012XXXXXX班内序号:XX学号:2012XXXXXX日期:2014年9月30日同组同学:学渣目录:一、实验介绍 (3)1.1实验课题名称 (3)1.2实验平台 (3)1.3实验课题关键字..............................31.4实验摘要 (3)二、小组分工 (3)三、基本题目训练——流水灯与数码管秒表计时器 (3)3.1实现功能 (3)3.2程序代码分析 (4)3.3实验结果图片 (7)四、有关发声的基础知识 (7)五、电子琴的设计与测试 (9)5.1设计过程 (9)5.2实验所需元器件 (9)5.3实验程序主要流程图 (10)5.4实验原理及原理图 (10)5.4.1实验原理 (10)5.4.2原理图 (11)5.5各个模块的设计与讲解 (11)5.6程序源代码及程序分析 (14)5.7实验结果..................................... ..24六、排错过程............................ . (26)七、心得体会 (29)八、参考文献 (32)九、意见与建议 (33)一、实验介绍:1.1实验课题名称:基于ATmega16L单片机的电子琴设计1.2实验平台:本实验所用平台为AVR Studio 41.3实验课题关键字:ATmega16L型单片机电子琴键盘按键 LCD液晶显示屏1.4实验摘要:本实验设计的电子琴拥有可视化操作界面,能实现即时弹奏音乐、音乐播放、音乐变速、音乐变调,并可以进行任意长度录音(通过按键记录音阶)等功能二、小组分工:●XXX负责电路硬件的连接和报告的撰写●XXX负责程序代码的编写●XXX负责资料的收集整理和查阅三、基本题目训练——流水灯与数码管秒表计时器3.1功能:八盏LED二极管按顺序依次循环点亮,实现流水灯的效果,同时两只数码管分别代表秒和十分之一秒,进行秒表计时,配有两个按键,实现计时过程中的暂停和继续,同时在按下暂停键的时候蜂鸣器会响一声。
北邮数电综合实验-简易钢琴游戏实验报告
北京邮电大学数电综合实验报告实验名称:简易钢琴游戏学院:信息与通信工程姓名:班级:学号:班内序号:目录:一:设计课题的任务要求 (1)二:系统设计 (2)2.1 设计思路 (2)2.2 总体框图 (3)2.3 分块设计 (3)三:仿真波形及波形分析 (4)3.1 分频模块仿真 (4)3.2 点阵仿真 (5)3.3 数码管仿真 (7)3.4 总体仿真及分析 (8)四:源程序(略) (9)五:功能说明及资源利用情况 (9)5.1 时钟功能 (9)5.2 点阵及LED指示灯 (9)5.3 数码管 (9)5.4 总体功能及资源利用情况 (9)六:故障及问题分析 (10)七:总结和结论 (10)一:设计课题的任务要求任务:设计制作一个简易钢琴游戏机1、用8×8 点阵进行游戏显示2、BTN1~BTN7 七个按键模拟钢琴演奏时的“1 2 3 4 5 6 7”七个音符。
点阵的第一列对应音符“1”,第二列对应音符“2”,依此类推,低中高音自定。
3、光点在点阵第一行随机出现,逐点下落,下落速度为0.2 秒/行,如图1 所示。
图1 光点下落示意图4、在光点下落到点阵最后一行之前的过程中,如果按下与该列点阵相应的音符键,该光点消失,蜂鸣器演奏相应的音符声音,计分器加1。
如果在光点下落到最后一行依然没有进行相应的按键操作,该光点消失,计分器不加分。
计分器由数码管显示。
5、每隔1 秒在点阵的不同列的第一行出现一个光点,如图2 所示。
图2 点阵随机光点示意图6、游戏时间为30 秒,数码管倒计时显示。
提高要求:1、光点在点阵某行随机出现,然后逐点下落。
2、下落速度随机变化。
3、光点按照存储的乐曲顺序和速度的出现。
4、自拟其它功能。
提示:根据声乐知识,产生音乐的两个因素是音乐频率的持续时间,音乐的十二平均率规定,每两个八音度之间的频率相差一倍,在两个八音度之间,又可分为12个半音。
每两个半音的频率比为4。
另外,音名A(乐谱中的低音6)的频率为440HZ,音名B到C之间,E到F之间为半音,其余为全音。
简易电子琴实验报告材料
邮电大学课题名称:简易电子琴的设计和制作学院:信息与通信工程学院专业:信息工程班级:2014211126:家威学号:2014210691班序号:10指导老师:王丹志一、摘要及关键字本课程设计以制作出一个简易电子琴为最终目的。
该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。
由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。
关键字:电子琴振荡电路运算放大器二、设计任务及要求了解由555定时器构成简易电子琴的电路及原理。
设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。
三、设计思路、总体结构框图设计思路555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。
只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。
它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。
由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。
根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。
总体结构框图四、分块电路和总体电路的设计分块电路:琴键端(开关、电阻)NE555电路:LM386电路:总体电路:五、所实现功能说明基本功能:通过按下不同的按键开关,能够演奏出两个八度音阶。
扩展功能:将音阶扩大到在降低八度,能演奏出低中高三个八度音阶 并将高音“7”输出功率提高到0.5W 以上。
主要测试数据:各音阶实际频率与对应阻值220nF注:对应电阻为万用表测得电阻。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电路与逻辑设计实验(上)实验报告学院:信息与通信工程学院专业:通信工程班级:班内序号:学号:姓名:指导教师:评分:2015年6 月2 日目录一、实验要求······································二、实验三(3)和实验四模块端口说明及连接图·······························三、实验一(2)、实验三(3)和实验四的原理图或VHDL代码···················四、实验一(2)、实验三(3)和实验四的仿真波形图····························五、仿真波形图分析······································六、故障及问题分析····························七、总结和结论····························参考文献·························································一、实验要求【实验目的】1.熟悉用QuartusII原理图输入法进行电路设计和仿真;2.掌握QuartusII图形模块单元的生成与调用;3.熟悉用VHDL语言设计组合逻辑电路和时序电路的方法;4.熟悉用QuartusII文本输入法和图形输入法进行电路设计;5.熟悉不同的编码及其之间的转换;6.掌握触发器的逻辑功能及使用方法;7.熟悉计数器、寄存器、锁存器、分频器、移位寄存器的设计方法8.掌握VHDL语言的语法规范,掌握时序电路描述方法;9.掌握多个数码管动态扫描显示的原理及设计方法。
【实验所用仪器及元器件】1.计算机2.直流稳压电源3.数字系统与逻辑设计实验开发板【实验内容】1、实验内容:QuartusII 原理图输入法设计与实现实验题目:第七章实验1(1)、(2)、(3)必做,选做VHDL 实现全加器2 、实验内容:用VHDL 设计与实现组合逻辑电路实验题目:(1)数码管译码器(第七章实验2(2))(2)8421 码转余3 码(第七章实验3(2))(3)奇校验器(第七章实验4(2))3 、实验内容:用VHDL 设计与实现时序逻辑电路实验题目:(1)8421 十进制计数器(第七章实验8(2))(2)分频器(第七章实验11(1))(3)将(1)、(2)和数码管译码器3 个电路进行链接,并下载到实验板显示计数结果4 、实验内容:用VHDL 设计与实现相关电路实验题目:数码管动态扫描控制器。
二、实验三(3)和实验四模块端口说明及连接图实验三(3)clk 分频器的时钟信号输入clear分频器的clear信号输入B[6...0]阴极管显示器的七段阴极管对应输出CAT[5...0]从6个阴极管选一个显示实验四entity display4 isport(clk:in std_logic;partout:out std_logic_vector(6 downto 0);catout:out std_logic_vector(5 downto 0));end display4;clk 时钟信号catout(5 downto 0) 街道cat端口使6个阴极管选一个显示,高频率时由于人眼视觉暂留效果可实现使其同时显示partout(6 downto 0) 阴极管显示器的七段阴极管对应输出三、实验一(2)、实验三(3)和实验四的原理图或VHDL 代码实验一(2)实验三(3)附:实验三(1)、实验三(2)vhdl代码:实验三(1)8421 十进制计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY BCDcoder ISPORT(clk,clear:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END BCDcoder;ARCHITECTURE a OF BCDcoder ISSIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(clear,clk)BEGINIF clear='0' THEN q_temp<="0000";ELSIF(clk'event AND clk='1') THENIF q_temp<="1001" THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END a;实验三(2)分频器LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY XBCDCOUNT10 ISPORT(clk,clear:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END XBCDCOUNT10;ARCHITECTURE a OF XBCDCOUNT10 ISSIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(clk)BEGINIF(clk'event and clk='1')THENIF clear='0'THENq_temp<="0000";ELSIF q_temp<="1001"THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END a;实验四library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity display4 isport(clk:in std_logic;partout:out std_logic_vector(6 downto 0);catout:out std_logic_vector(5 downto 0)); end display4;architecture a of display4 issignal part:std_logic_vector(6 downto 0); signal cat :std_logic_vector(5 downto 0); signal tclk:std_logic;signal count:integer range 0 to 50000;beginp1:process(clk)beginif(clk'event and clk='1')thenif count=10 thencount<=0;tclk<=not tclk;else count<=count+1;end if;end if;end process p1;p2:process(tclk)beginif(tclk'event and tclk='1') thencase cat iswhen "111110"=>cat<="011111";part<="1111110"; --0 when "011111"=>cat<="101111";part<="0110000"; --1 when "101111"=>cat<="110111";part<="1101101"; --2 when "110111"=>cat<="111011";part<="1111001"; --3 when "111011"=>cat<="111101";part<="0110011"; --4 when "111101"=>cat<="111110";part<="1011011"; --5 when others=>cat<="011111";part<="1111110";end case;end if;end process p2;catout<=cat;partout<=part;end a;四、实验一(2)、实验三(3)和实验四的仿真波形图五、仿真波形分析实验一(2)实现全加器波形整体思路是按照实验的要求,先做出一个半加器,然后在这个半加器的基础上实现全加器的功能。