四位二进制加法计数器

合集下载

四位同步二进制计数器74LS161

四位同步二进制计数器74LS161

四位同步二进制计数器74LS161————————————————————————————————作者:————————————————————————————————日期:四位同步二进制计数器74LS161逻辑符号如图所示:逻辑功能如下表所示:74LS161功能表PTCP 功能1 × 0 ××1 × 1 0 ×1 0 1 1 ×1 1 1 1 0↑↑×××计数并行输入保持保持(CO=0)清零CP是时钟脉冲信号端,是异步清零端,是同步置数控制端,P和T为计数允许控制端,D0~D3为并行数据输入端,Q0~Q3为数据输出端,CO为进位输出端。

由功能表可以看出该芯片具有以下功能:(1)清零功能。

当时,计数器异步清零。

即只要,计数器输出状态立刻变为“0000”。

(2)同步并行置数功能。

当、时,在CP上升沿作用下,并行输入数据D0~D3进入计数器,使计数器的输出端状态为Q3 Q2Q1 Q0=D3D2 D1D0。

(3)保持功能。

当、时,若P·T=0,则计数器保持原来状态不变。

对于进位输出信号有两种情况:如果T=0,则CO=0;如果T=1,则CO= Q3 ·Q2·Q1 ·Q0(4)计数功能。

当、时,若P=T=1,则在时钟脉冲CP上升沿的连续作用下,计数器输出(Q3Q2Q1Q0)的状态按0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→1101→1110→1111→0000的次序循环变化,完成十六进制(或称四位二进制)加法计数。

并且当计数器计到1111时,进位输出端CO输出为1,其他状态时CO输出为0。

四位全加器实验报告

四位全加器实验报告

武汉轻工大学数学与计算机学院《计算机组成原理》实验报告题目:4位二进制计数器实验专业:软件工程班级:130X班学号:XXX姓名:XX指导老师:郭峰林2015年11月3日【实验环境】1. Win 72. QuartusII9.1计算机组成原理教学实验系统一台。

【实验目的】1、熟悉VHDL 语言的编写。

2、验证计数器的计数功能。

【实验要求】本实验要求设计一个4位二进制计数器。

要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F 的数据显示。

(其次要求下载到实验版实现显示)【实验原理】计数器是一种用来实现计数功能的时序部件,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。

计数器由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下:计数器的种类⎪⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎪⎨⎧⎪⎩⎪⎨⎧⎪⎩⎪⎨⎧⎩⎨⎧进制计数器十进制计数器二进制计数器进制可逆计数器减法计数器加法计数器功能异步计数器同步计数器结构N 、、、321 下面对同步二进制加法计数器做一些介绍。

同步计数器中,所有触发器的CP 端是相连的,CP 的每一个触发沿都会使所有的触发器状态更新。

1.同步4位二进制加法计数器_数字电子技术_[共3页]

1.同步4位二进制加法计数器_数字电子技术_[共3页]

第6章时序逻辑电路135 6.4 计数器6.4.1 计数器概述计数器是数字系统中最常用的时序电路之一。

它的基本功能是对时钟脉冲进行计数,以此为基础,能用于定时、分频等。

在与其他逻辑功能电路组合后,还可以产生脉冲序列、节拍脉冲,并具有数值运算等复杂功能。

计数器的种类繁多,分类方法也多种多样,主要有以下几种。

(1)按触发器触发时间分类触发器是构成计数器的基本单元,一个计数器至少应包含两个以上的触发器。

按照触发器的触发时间可将计数器分为同步方式和异步方式两种。

对于同步计数器,所有触发器的时钟端并联到一起,因此它们同时触发翻转;对于异步计数器,触发器的时钟端信号来源不同,因此它们的触发不是同时发生的,而是有先后之分。

(2)按计数值的增减方式分类计数器的基本逻辑功能是对输入的时钟脉冲个数进行计数。

按计数时的数字增减方式可以分为加法计数器、减法计数器和可逆计数器(或称加/减计数器)。

加法计数器对输入脉冲数量进行递增计数,而减法计数器则进行递减计数,既能递增计数又能递减计数的称为可逆计数器。

可逆计数器通常设置有控制方式信号端,以进行加/减工作方式的选择。

(3)按计数值的编码方式分类计数器的用途不同,其采用的编码方式也不尽相同。

最常用的是二进制编码方式,其他的如采用BCD编码的二-十进制计数器等。

(4)按计数器容量分类计数器按计数容量可分为三大类:(n位)二进制计数器、十进制计数器和N进制计数器。

计数器的最大计数容量取决于包含的触发器个数。

如果一个计数器包含n个触发器,则理论上最大计数容量为2n,按2n容量工作的计数器统称为(n位)二进制计数器。

例如,最大计数容量为16时,称为4位二进制计数器,也可简称为十六进制计数器。

实际上,通过修改某种计数器的内部或外部电路,可以让计数器不按照最大计数容量工作。

最具代表性且最常用的就是十进制计数器,其内部也要包含4个触发器。

除了二进制和十进制以外,其他统称N进制计数器,它可在前两种计数器的基础上实现。

74LS161和74LS290集成计数器功能说明

74LS161和74LS290集成计数器功能说明

74LS161和74LS290集成计数器功能说明1、集成同步计数器同步计数器电路复杂,但计数速度快,多用在计算机电路中。

目前生产的同步计数器芯片分为二进制和十进制两种。

(1)集成同步二进制计数器中规模同步四位二进制加法计数器74LS161具有计数、保持、预置、清零功能。

图8.51所示是它的逻辑符号和引脚排列图。

图8.51 74LS161的逻辑符号和外引脚排列图图中LD为同步置数控制端,d R为异步置0控制端,EP和ET为计数控制端,D0~D3为并行数据输入端,Q0~Q3为输出端,C为进位输出端。

表8.13为74LS161的功能表。

R=0时,输出端清0,与CP无关。

①异步清0 当dR=1,当LD=0时,在输入端D3D2D1D0预置某个数据,则在CP脉②同步并行预置数d冲上升沿的作用下,就将输入端的数据置入计数器。

R=1,当=1时,只要EP和ET中有一个为低电平,计数器就处于保持状态。

③保持d在保持状态下,CP不起作用。

R=1,LD=1,EP=ET=1时,电路为四位二进制加法计数器。

当计到1111时,④计数d进位输出端C送出进位信号(高电平有效),即C=1。

(2)集成同步十进制计数器集成同步十进制加法计数器74LS160的管脚图和功能表与74LS161基本相同,唯一不同的是74LS160是十进制计数器,而74LS161是二进制计数器。

2、集成异步计数器异步计数电路简单,但计数速度慢,多用于仪器、仪表中。

(1)集成计数器74LS290图8.52是二-五-十进制集成计数器74LS290的逻辑结构图。

它兼有二进制、五进制和十进制三种计数功能。

当十进制计数时,又有8421BCD 和5421BCD 码选用功能,表8.14是它的功能表。

95481213131011CP 0CP 1Q 0Q 1Q 3Q 2R O(1)R O(2)S 9(1)S 9(2)图8.52 74LS290的逻辑结构图由表可知,74LS290具有如下功能:①异步置0 当R 0(1)=R 0(2)=1且S 9(1)或S 9(2)中任一端为0,则计数器清零,即Q D Q C Q B Q A =0000。

4位同步二进制加法计数器计数最大值

4位同步二进制加法计数器计数最大值

4位同步二进制加法计数器是一种常见的数字电路,用于实现二进制计数。

它可以将二进制数字表示为电信号,并且在每次输入脉冲时进行递增。

下面将详细介绍4位同步二进制加法计数器及其计数的最大值。

一、4位同步二进制加法计数器的原理1. 4位同步二进制加法计数器由4个触发器组成,每个触发器对应一个二进制位。

当输入一个脉冲时,每个触发器根据前一位的状态以及输入脉冲的信号进行状态转换。

这样就实现了二进制数的递增。

2. 触发器之间通过门电路连接,用于控制触发器状态的变化。

这些门电路可以根据具体的设计选择不同的逻辑门,常见的有AND门、OR 门、NOT门等。

3. 4位同步二进制加法计数器是同步计数器,即所有触发器同时接收输入脉冲,确保计数的同步性。

二、4位同步二进制加法计数器的计数最大值1. 4位二进制数的表示范围是0~15,因此4位同步二进制加法计数器的计数最大值为15。

2. 在计数到15后,再输入一个脉冲,计数器将重新从0开始计数,即实现了循环计数。

三、4位同步二进制加法计数器的应用1. 4位同步二进制加法计数器常用于数字电子钟、信号发生器等数字电路中,用于实现计数和定时功能。

2. 它还可以作为其他数字电路的组成部分,用于构建更复杂的逻辑功能。

3. 在数字系统中,计数器是十分重要的组件,它能够实现数字信号的计数和控制,广泛应用于各种数字系统中。

4位同步二进制加法计数器是一种重要的数字电路,通过它可以实现对二进制数的递增计数。

其计数的最大值为15,应用领域广泛。

希望本文内容能够对读者有所启发。

四、4位同步二进制加法计数器的工作原理4位同步二进制加法计数器是一种晶体管数字集成电路,它利用触发器和逻辑门等基本元件构成,能够实现二进制数字的加法计数。

在4位同步二进制加法计数器中,每个触发器代表一个二进制位,通过输入脉冲的控制,能够实现对二进制数的递增计数。

具体来说,当输入一个脉冲信号时,4位同步二进制加法计数器会根据触发器之间的连线和逻辑门的作用,根据之前的状态和输入脉冲的信号进行状态转换,从而实现二进制数的递增。

4位二进制加法器

4位二进制加法器

《电工与电子技术基础》课程设计报告题目四位二进制加法计数器学院(部)汽车学院专业汽车运用工程班级22020903学生姓名郭金宝学号220209031006 月12 日至06 月22 日共 1.5 周指导教师(签字)评语评审人:四位二进制加法器一.技术要求1.四位二进制加数与被加数输入2.二位显示二.摘要本设计通过逻辑开关将A3,A2,A1,A0和B3,B2,B1,B0信号作为加数和被加数输入到超前进位加法器74LS283中进行四位二进制相加,将输出信号S4,S3,S2,S1和向高位的进位C1输入一个译码器译码。

再将输出信号X4,X3,X2,X1和Y4,Y3,Y2,Y1分别输入一个74LS247型的七段显示译码器译码,最后分别接一个BS204数码管进行二位显示。

关键字:74LS283 74LS247 BS204三.总体设计方案的论证及选择1.加法器的选取加法器有两种,分别是串行进位加法器和超前进位加法器。

串行进位加法器由全加器级联构成,高位的运算必须等到低位加法完成送来进位时才能进行。

它虽然电路简单,但运算速度较慢,而且位数越多,速度就越慢。

T692型集成全加器就是这种四位串行加法器。

超前进位加法器由逻辑电路根据输入信号同时形成各位向高位的进位。

使各位的进位直接由加数和被加数来决定,而不需依赖低位进位,这就省去了进位信号逐级传送所用的时间,所以这种加法器能够快速进位。

因为它的这个优点我们选取超前进位加法器。

超前进位加法器的型号有多种,由于我们是非电专业,对电子器件的选取要求不高,为使设计简单所以选74LS283型加法器。

2.译码器的选取译码器的功能是将二进制代码(输入)按其编码时的原意翻译成对应的信号或十进制数码(输出)。

译码器是组合逻辑电路的一个重要器件,其可以分为:变量译码和显示译码两类。

译码器的种类很多,但它们的工作原理和分析设计方法大同小异,其中二进制译码器、二-十进制译码器和显示译码器是三种最典型,使用十分广泛的译码电路。

实验三四位二进制计数器计数、译码与显示.doc

实验三四位二进制计数器计数、译码与显示.doc

实验三四位二进制计数器计数、译码与显示一、实验目的1 学习并掌握用VHDL语言、语法规则2 掌握VHDL语言进行二进制计数器的设计3 掌握译码显示电路的设计4 掌握顶层文件的设计5 掌握综合性电路的设计、仿真、下载、调试方法。

二实验仪器设备1 PC机一台2 EDA教学实验系统,1套3 CPLD实验装置,1套三实验内容实验内容:(1) 设计7段译码显示电路程序;(参考实验一)(2) 设计四位二进制计数器,进行计数;(3) 进行顶层电路设计;(4) 对计数值,用7段显示器进行显示;(5) 进行电路功能仿真与下载。

四. 实验操作步骤1 开机,进入MAX+PLUSⅡ CPLD开发系统。

2 在主菜单中选NEW,从输入文件类型选择菜单中选文本编辑文件输入方式,进行文本编辑。

对7段译码显示电路、四位二进制计数器、顶层电路分别进行编辑、保存与仿真。

3 打开Assign主菜单,选择计划使用的CPLD芯片。

4 点击编译按钮,对顶层电路进行编译。

5 点击Floorplan Editor子菜单,为设计的电路分配芯片引脚。

6进行芯片下载与硬件测试。

建议输入信号引脚为:时钟信号:73脚或31脚(8K板) 42脚或44脚(10K板)使能信号、复位信号选,第一组DIP开关或第二组DIP开关或第三组DIP开关,相应引脚参考讲义;LED七段(a,b,c,d,e,f,g)输出分配也必须与实验装置的相关端匹配,具体引脚参考实验讲义。

(见表功,如可选引脚13、14、15、16、18、19、20(8K板)或16、17、18、19、21、22、23(10K板))。

设计参考框图如下:五. 实验程序1、四位二进制计数器译码程序library IEEE;use IEEE.std_logic_1164.all;entity sysegd isport (x: in std_logic_vector(3 downto 0);s : out std_logic_vector (6 downto 0));end entity;architecture bin27seg_arch of sysegd isbeginprocess(x)begincase x(3 downto 0) iswhen "0000" => s <= "1111110"; -- 0when "0001" => s <= "0110000"; -- 1when "0010" => s <= "1101101"; -- 2when "0011" => s <= "1111001"; -- 3when "0100" => s <= "0110011"; -- 4when "0101" => s <= "1011011"; -- 5when "0110" => s <= "1011111"; -- 6when "0111" => s <= "1110000"; -- 7when "1000" => s <= "1111111"; -- 8when "1001" => s <= "1111011"; -- 9when "1010" => s <= "1110111"; -- Awhen "1011" => s <= "0011111"; -- bwhen "1100" => s <= "1001110"; -- cwhen "1101" => s <= "0111101"; -- dwhen "1110" => s <= "1001111"; -- Ewhen "1111" => s <= "1000111"; -- Fwhen others => NULL;end case;end process;end architecture;2、四位二进制计数器计数程序library IEEE;use IEEE.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity segd7 isport (clk,en : in std_logic;x : buffer std_logic_vector (3 downto 0)); end entity;architecture one of segd7 isbeginprocess(clk)beginIF (CLK'EVENT AND clk='1') thenif en='1' thenIF (x="1111") THENx<="0000";ELSEx <= x+'1';END IF;end if;end if;end process;end architecture;3、四位二进制计数器顶层文件library ieee;use ieee.std_logic_1164.all;entity segdtop isport(clk,en :in std_logic;s : out std_logic_vector (6 downto 0)); end segdtop;architecture behave of segdtop issignal temp1:std_logic_vector(3 downto 0);component segd7port(clk,en : in std_logic;x : buffer std_logic_vector (3 downto 0)); end component;component sysegdport (x: in std_logic_vector(3 downto 0);s : out std_logic_vector (6 downto 0));end component;beginu0:segd7 port map(clk,en,temp1);u1:sysegd port map(temp1,s);end behave;六. 实验结果图1、四位二进制计数器译码程序图2、四位二进制计数器计数程序图3、四位二进制计数器顶层文件。

数电-时序逻辑电路 计数器

数电-时序逻辑电路 计数器
?用触发器构成
——依照一般同步时序电路的设计步骤
例题
用D触发器设计同步十进制加法计数器 用JK触发器设计同步六进制减法计数器
(1)异步二-十进制计数器 74HC/HCT390
FF0 二进制计数器 CP0输入,Q0输出
FF1——FF3
异步五进制计 数器(P277)
CP1输入,Q3、Q2、Q1输出
CP1 1
1000~1111 8进制
异步计数器
方法二 整体反馈清0法实现72进制加法计数器
1 CP
××××
CR D0 D1 D2 D3
CET
CEP 74161(0) TC CP Q0 Q1 Q2 Q3 PE 1
××××
CR D0 D1 D2 D3
CET
CEP 74161(1) TC
CP Q0 Q1 Q2 Q3 PE 1
TC
CEP
74161
PE
>CP Q0 Q1 Q2 Q3
CR: 异步清零端
CP:
有效
PE: 同步并行置数使能端
D0 - D3 :预置数据输入端 CET、CEP: 计数使能端
TC:进位输出端,用于级连(TC = CET·Q3·Q2·Q1·Q0)
74161逻辑功能表
输入
输出
清预 零置
使能
时 钟
预置数据输入
连接方式1 Q2 Q1 Q0 000 001 010 011 100 101 110 111 000 001
(5421码)
连接方式2 Q0 Q3 Q2 Q1 0 000 0 001 0 010 0 011 0 100 1 000 1 001 1 010 1 011 1 100
二-五-十进制加法计数器

4位同步二进制加法计数器

4位同步二进制加法计数器

4位同步二进制加法计数器一.实验目的1.通过此实验逐步了解、熟悉和掌握FPGA开发软件Quartus Ⅱ的使用的法及VerilogHDL的编程方法。

2、学习用VerilogHDL语言以不同方式来描述1位全加器及电路的设计仿真和硬件测试。

二.实验设备操作系统:Windows 2000EDA软件: Quartus II6.0三.设计原理1.4位同步二进制加法计数器的工作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0。

在clr复位信号无效(即此时高电平有效)的前提下,当clk的上升沿到来时,如果计数器原态是15,计数器回到0态,否则计数器的状态将加12.VHDL源程序library ieee;use ieee.std_logic_1164.all;entity cnt4e isport(clk,clr:in std_logic;cout:out std_logic;q:buffer integer range 0 to 15);end cnt4e;architecture one of cnt4e isbeginprocess(clk,clr)beginif clk'event and clk='1'thenif clr='1'thenif q=15 then q<=0;cout<='0';elsif q=14 then q<=q+1;cout<='1';else q<=q+1;end if;else q<=0;cout<='0';end if;end if;end process;end one;四.实验步骤:1.在Quartus II6.0中执行“file”->“new”命令,打开编辑文件类型对话框,选择"VHDL File",在文本框里输入源程序,并将文件cnt4e.vhd保存在创建的目录下。

4位二进制加减计数器74191

4位二进制加减计数器74191
大,所有输入接 54
99

74
105
[1]: 测试条件中的“最小”和“最大”用推荐工作条件中的相应值。
LS191 最小 最大
-1.5 2.5 2.7
0.4 0.5 0.3 0.1 60 20 -1.2 -0.4 -20 -100 -20 -100 35 35
单位 V V V mA µA mA mA mA
4.75
5 5.25
2
0.7
0.8
-400
4
8
0
20
25
35
20
0
40
单位
V
V V
uA
mA
MHz ns
ns ns ns
三毛电子世界

逻辑图
三毛电子世界

静态特性(TA为工作环境温度范围)
参数
测 试 条 件【1】
‘191 最小 最大
VIK输入嵌位电压
到低电平传输延迟时间
单位
MHz ns ns ns ns ns
ns
ns ns ns ns ns
三毛电子世界

动态特性(TA=25℃)

数[2]
测试条件
‘191
‘LS191
最小 最大 最小 最大
fmax
tPLH LOAD → 任一 Q
Vcc =5V,CL=15Pf,RL=400Ω
20
20
( ‘LS191 为 2KΩ)
33
33
tPHL
50
50
tPLH D → Q
22
32
tPHL
tPLH CLOCK → RC
50
1
压时输入电流 其余输入 (LS191 为 7V)

实验报告七

实验报告七

选预置数D3D2D1D0=0000;
写出D5-1的二进制数码:D4=0100;
再根据D4数码写出置数信号表达式: Q2 ; LD
最后根据置数信号表达式画出连线图。
例 用 74LS160的置数法构成七进制加法计数器
741LS60的有效状态是10个状态,在此选后七个状态为循环 计数状态即0011~1001。所以选预置数为:D3D2D1D0=1001 ; 74LS160是同步置数的,选
1) 异步清零:当 RD 0 时,不管其他输入端的状态如何, 不论有无时钟脉冲CP,计数器输出将被直接置零(Q3Q2QlQ0= 0000),称为异步清零。 2) 同步并行预置数:当 RD 1, LD 0 时,在输入时钟脉 冲CP上升沿的作用下,并行输入端的数据d3d2d1d0被置入计数 器的输出端,即Q3Q2QlQ0=d3d2d1d0。由于这个操作要与CP上 升沿同步,所以称为同步预置数。 3)计数功能:当 RD LD EP ET 1 时,在CP端输入 计数脉冲,计数器进行二进制加法计数。 4)保持功能:当 R D LD 1 ,且 EP ET 0 ,即两个 使能端中有0时,则计数器保持原来的状态不变。这时,如 EP=0、ET=1,则进位输出信号CO保持不变;如ET=0则不 管EP状态如何,进位输出信号CO为低电平0。
集成计数器产品多数是二进制和十进制计数器,如果需要其 他进制的计数器,可用现有的二进制或十进制计数器,利用 其清零端或预置数端,外加适当的门电路连接构成任意进制 计数器。如果手边有M进制的集成计数器,要构成N进制的计 数器,当M>N时用一片M进制的计数器就可以实现;当M<N时 则需要多片M进制的计数器下面分别介绍实现的方法。
LD CO ;
最后根据置数信号表达式画出连线图。

实验五 四位二进制加法计数器VHDL设计

实验五 四位二进制加法计数器VHDL设计

实验五四位二进制加法计数器VHDL设计一、实验目的:进一步掌握引脚锁定、硬件下载及芯片测试方法。

掌握开发板的使用。

二、实验仪器:PC机,FPGA开发板,万用表,接线若干。

三、实验内容:1、设计内容如下两张图所示:2、注意开关如处在常态,输出值为‘1’;按下开关的输出值为‘0’。

完成上面的设计,并下载观察实验现象。

开关有抖动吗?3、将20MHz 的输入频率,分频后作为计数器的时钟。

设计电路,并下载观察实验现象。

4、管脚锁定及下载的方法如5~9。

5、选定器件。

点击QuartusII菜单Assignments下的“Device”,出现选择器件系列及器件型号选择窗口。

按照实验中所给的器件型号选择器件系列及器件型号。

(请按照开发板上实际的芯片选择芯片系列,以及芯片型号)选好器件后,重新全程编译。

6、查找管脚号。

观察开发板和外围电路。

确认电路的连接方法。

观察CLK 的管脚号,并记录。

确定数码管所接的端口,记录管脚号。

7、锁定管脚。

选择菜单Assignments下的Pins出现下图。

在Location下选择对应管脚的管脚号。

将CLK锁定在开发板规定的管脚号上。

将输出端锁定在所选定的管脚号上。

所有的引脚锁定后,再次全程编译。

8、在菜单菜单Tools下选择programmer打开编程窗口,观察箭头所指的信息。

如果显示“No Hardware”,点击左边的“Hardware Setup”,双击USB-Blaster。

如下图所示。

点击“Close”,关闭上面的窗口。

此时QUARTUSII的窗口应该为:选中Program/Configure下方的框(出现勾)。

点击左边的“Start”,开始下载。

当显示100%时,下载成功。

9、硬件测试。

观察实验现象。

适当进行操作,实验现象又是什么?四、实验报告要求:1.写出你实验时的芯片系列及芯片型号2.实验箱连接在PC机的什么口上?3.简要说明实验过程中遇到的问题,及解决方法。

d触发器四位二进制计数器

d触发器四位二进制计数器

d触发器四位二进制计数器一个D触发器四位二进制计数器可以使用四个D触发器和适当的逻辑电路来构建。

D触发器具有一个输入和一个输出,它可以将输入信号的状态存储到输出,并根据时钟信号进行切换。

对于这个计数器,我们需要四个D触发器,每个D触发器都与上一个D触发器的输出相连。

每个D触发器的时钟信号都相同,并且是一个递增的脉冲信号。

首先,我们需要将第一个D触发器的D输入连接到高电平电源,这将设置计数器的初始状态为“0000”。

下一个D触发器的D输入将连接到第一个D触发器的输出,以便它存储第一个位的状态。

接下来的两个D触发器将分别连接到以前的D触发器的输出,以存储第二位和第三位的状态。

最后,第四个D触发器将连接到前一个D触发器的输出,以存储第四位的状态。

为了使计数器按照二进制顺序计数,我们需要使用逻辑电路来控制每个D触发器的输入。

对于第一个D触发器,我们只需要将其输入与时钟信号连接,这将导致其在每个时钟周期上升1。

对于接下来的D 触发器,我们将其D输入连接到其前一个D触发器和时钟信号的和。

这意味着,每当前一个D触发器存储一个1时,这个D触发器就会在下一个时钟周期上升1。

这个计数器可以用以下逻辑方程式来描述:Q1 = D1Q2 = D2 + Q1Q3 = D3 + Q2 * Q1Q4 = D4 + Q3 * Q2 * Q1其中D1,D2,D3和D4分别为第一个,第二个,第三个和第四个D触发器的D输入。

Q1,Q2,Q3和Q4分别为第一个,第二个,第三个和第四个D触发器的输出。

该计数器可以模拟4位二进制计数器的行为,当计数器的输出为“1111”时将重置为“0000”。

异步四位二进制可逆计数器

异步四位二进制可逆计数器

数字电路课程设计题目:异步四位二进制可逆计数器学院:物理科学与工程技术学院班级:电子科学与技术081班姓名:庄磊学号:0812270109一、设计元件:74LS74 CC4030 信号输入器指示灯二、元件介绍:1、74LS74管脚介绍:管脚简介2、CC4030简介:上图为CC4011的管脚图。

CC4030为四个异或门,管脚形式与其相同。

设计中的异或门就用此元件。

3、信号发生器:用普通的脉冲。

显示:发光二极管。

三、设计原理四位异步可逆二进制计数器真值表如下:当K=1时,计数器实现的是由0~16的二进制加法。

当K=0时,计数器实现的是由16~0的二进制减肥。

原理:计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。

按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。

根据计数制的不同,分为二进制计数器,十进制计数器和任意制计数器。

根据计数的增减趋势,又分为加法、减法和可逆计数器。

还有可预置数和可编程序功能计数器等等。

目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。

使用者只要借助于器件手册的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

边沿D触发器的工作原理:逻辑图逻辑符号SD 和RD 接至基本RS 触发器的输入端,它们分别是预置和清零端,低电平有效。

当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。

我们设它们均已加入了高电平,不影响电路的工作。

工作过程如下:1.CP=0时,与非门G3和G4封锁,其输出Q3=Q4=1,触发器的状态不变。

同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6=Q5=D。

四位二进制加法计数器课程设计

四位二进制加法计数器课程设计
图3-5提示是否添加文件
图3-6芯片型号选择
(5)点击Next,系统显示如图3-7,提示是否需要其他EDA工具,这里不选任何其他工具;
(6)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图3-8,在窗口左侧显示出设备型号和该工程的基本信息等。
2.求时钟方程、状态方程
(1)求时钟方程
采用同步方案,故取CP0=CP1=CP2=CP3=CP
CP是整个要设计的时序电路的输入时钟脉冲
(2)求状态方程
由图2所示状态转换图可直接画出如图3-20所示的电路次Q3n+1Q2n+1Q1n+1Q0n+1的卡诺图,再分解开便可以得到如图3-21所示的各触发器的卡诺图。
end zs_2;
ARCHITECTURE Behavioral OF zs_2 IS
SIGNAL
count:STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
PROCESS(cp,r)
BEGIN
if r='0'then count<="0000";
elsiF cp'EVENT AND cp='1'THEN
(3)在Multisim环境中仿真实现四位二进制加计数器(缺0010 0011 1101
1110)的建立,并通过虚拟仪器验证其正确性。
二课设题目实现框图
在本课程设计中,四位二进制加计数用四个CP下降沿触发的JK触发器实现,其中有相应的跳变,即跳过了0010 0011 1101 1110四个状态,这在状态转换图中可以清晰地显示出来。
图3-11存盘

二进制加法计数器74ls163

二进制加法计数器74ls163

ENP ENT
74LS163
LD
1
CLK
RCO
D C B A CLR
1 图4 74ls163逻辑符号图
3. 74LS163逻辑功能
同同保保
步 清
步 置
持 功
持 功



零 数 能 能输入
输出
CLR LD ENP ENT CLK D C B A QDQCQBQA
x
0
D3 D2 D1 D0 0 0 0 0 0
3. 74LS163逻辑功能
同同保保
步 清
步 置
持 功
持 功



计数功能
零 数 能 能输入
输出
CLR LD ENP ENT CLK D C B A QDQCQBQA
1
0
D3 D2 D1 D0 0 0 0 0 1
10
D3 D2 D1 D0 D3 D2 D1 D0
110
D3 D2 D1 D0 Q D Q C Q B Q A
110
D3 D2 D1 D0 Q D Q C Q B Q A
1111
D3 D2 D1 D0 01 01 01 01
^
01 01 01 01
计数功能
QD QC QB QA
ENP
1
LD
ENT 74LS163
CLK D
RCO
1
C B A CLR
1 图4 74ls163逻辑符号图
数字电子技术
^
0000
QD ENP ENT CLK
QC QB QA
74LS163
LD RCO
D C B A CLR

74LS161

74LS161

图2 集成计数器的级联 (2)用反馈清零法获得任意进制计数器 由于集成计数器一般都设置有清零端和置数端,而且无论是 清零还是置数都有同步和异步之分。例如,4 位二进制同步加法计 数器74LS163的清零和置数均采用同步方式,而有的只有异步清 零功能。获得任意进 制计数器的方法很多,本书只介绍用反馈清 零法获得任意进制计数器。 如用74LS16l构成九进制加法计数器,九进制计数器(N=9) 有9个状态,而74LS161在计数过程中有16个状态(M=[6), 正常循环从0000到1111,要构成九进制加法计数器,此时必须设 法 跳过M-N(16 - 9=7)个状态。74LS161具有异步清零功能, 在其计数过程当中,不管它的输出处于哪 一状态,只要在异步清 零输人端加一低电平电压,使CR=0,74LS161的输出会立即从 那个状态回到0000状 态。清零信号(CR=0)消失后,74LS161 又从0000状态开始重新计数。 如图3(a)所示的九进制计数器,就是借助74LS161的异步 清零功能实现的。如图3(b)所示电路是九进 制计数器的主循环 状态图。
பைடு நூலகம்
计数器的种类很多,按其进制不同分为二进制计数器、十 进制计数器、N进制计数器;按触发器翻转是否 同步分为 异步计数器和同步计数器;按计数时是增还是减分为加法 计数器、减法计数器和加/减法(可逆 )计数器。下面首 先介绍二进制计数器。 1.集成二进制计数器74LS161 74LS161是4位二进制同步加法计数器,除了有二进 制加法计数功能外,还具有异步清零、同步并行置数 、 保持等功能。74LS161的逻辑电路图和引脚排列图如图1 所示,CR是异步清零端,LD是预置数控制端,D0 ,D1, D2,D3是预置数据输人端,P和T是计数使能端,C是进 位输出端,它的设置为多片集成计数器的级 联提供了方 便。 74LS161的功能表如表1所示。由表可知,74LS161 具有以下功能。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录
一.数字系统简介 (3)
二.设计目的和要求 (3)
三.设计内容 (3)
四.VHDL程序设计 (3)
五.波形仿真 (11)
六. 逻辑电路设计 (12)
六.设计体会 (13)
七.参考文献 (13)
一.数字系统简介
在数字逻辑设计领域,迫切需要一种共同的工业标准来统一对数字逻辑电路及系统的描述,这样就能把系统的设计分解为逻辑设计(前端),电路实现(后端)和验证桑相互独立而又相关的部分。

由于逻辑设计的相对独立性就可以把专家们设计的各种数字逻辑电路和组件建成宏单元或软件核,即ip库共设计者引用,设计者可以利用它们的模型设计电路并验证其他电路。

VHDL这种工业标准的产生顺应了历史潮流。

二.设计目的和要求
1、通过《数字系统课程设计》的课程实验使电子类专业的学生能深入了解集成中规
模芯片的使用方法。

2、培养学生的实际动手能力,并使之初步具有分析,解决工程实际问题的能力。

三.设计内容
四位二进制加计数,时序图如下:
0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111 →0000 缺0100→0101 。

由JK触发器组成4位异步二进制加法计数器。

四.VHDL程序设计
四位二进制加计数,缺0100,0101(sw向上是0(on);灯亮为0)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity count10 is
PORT (cp,r:IN STD_LOGIC;
q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );
end count10;
ARCHITECTURE Behavioral OF count10 IS
SIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0) ;
BEGIN
PROCESS (cp,r)
BEGIN
if r='0' then count<="0000";
elsiF cp'EVENT AND cp='1' THEN
if count="0011" THEN
count <="0110";
ELSE count <= count +1;
END IF;
end if;
END PROCESS;
q<= count;
end Behavioral;
(一)建立工程。

File——〉New Project;Project Name:工程名(例:count10); Project Location:工程保存的位置(例:F:0603060x\count10);next——>……——>next直至finish。

件名(例:File name:v1)——〉next( Port Name中随便填A)——〉finish
写入程序,保存程序
双击Implement Design(或右键Run),运行程序,调试成功显示如下
(三)波形仿真。

回到vi.vhd界面,右键点击v1 - Behavioral(v1.vhd),选New Source ——〉Test Bench WaveForm——〉File Name:t1( 测试波形文件名t1),next(连接v1)
——next〉——〉finish
左侧Sources for 栏内选择Behavioral Simulation ,选择t1 ,打开Processes 下的Xilinx ISE Simulator 如图
点击Simulate Behavioral Model(或右键RUN)运行仿真波形,如下
(四)引脚锁定与下载
左上侧Source for选项中选择Synthesis/Implementation,左下侧Processes——〉User Constraints——〉Assign Package Pins分配引脚:
Cp-key1,r-sw1,q3-L1,q2-L2,q1-L3,q0-L4。

点击保存,OK。

——〉双击Lock Pins锁定引脚
Configure Device(iMPACT),默认JTAG,finishi,v1.jed ——〉Open
右键点绿——〉Progaram——〉OK,结束下载。

(调试时sw向上是0;灯亮为0)
五.Xilinx波形仿真
通过此图可以看到输出端从0到15又跳到0,缺少了4和5,正好符合要求,说明程序正确。

而且从图中可以看出四个输出端输出的波形,与时序图相吻合。

并且将程序下载到芯片中,实际的发光管亮灭也符合要求。

六.逻辑电路设计
其中包含4个JK触发器和一些与门或门组成的逻辑电路。

图中5个发光二极管(四红一绿),四红代表四个输出,即时序图的数值。

绿的代表错位信号,进位时绿灯就会亮。

红灯最高为最右边,最低位为最左边,亮代表1,灭代表0。

实际仿真的结果与时序图一致。

观察逻辑电路的仿真图验证结果。

清楚的看到此波形与xilinx中仿真的波形一致。

七.设计体会
通过本次学习,让我了解到设计电路的VHDL程序,了解了关于计数器的基本原理和设计理念。

在实验中,通过这个方案设计了一个程序设计和电路逻辑图,并将程序下载到芯片中,与实际实践想结合。

了解了具体每一步该怎样完成,每个参数该如何设计。

画逻辑电路时,充分了解Multsim软件的应用,每个器件在什么位置,能够快速准确的找到,本将电路图完整准确的画出来。

并且掌握卡诺图的画法.
总之,本次实验也实际结合不仅巩固了课本知识,也加强了实际动手能力,收获很多,受益匪浅。

八.参考文献
《字电子技术基础简明教程》余孟尝高等教育出版社
《现代数字电路设计》蓝江桥高等教育出版社。

相关文档
最新文档