交通灯设计实验
交通灯设计实验报告
数字电子技术课程设计实验报告<一> 课程性质数字逻辑课程设计<二> 课程目的训练学生综合地运用所学的《数字逻辑》的基本知识,使用电脑EWB 仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试等的综合能力。
本次电脑仿真所用的软件版本为EWB Version 5.0c<三>课程设计题目题目:交通灯控制电路的设计要求:1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。
时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。
5、同步设置人行横道红、绿灯指示。
〈四〉设计原理与参考电路1、分析系统的逻辑功能,画出其框图交通灯控制系统的原理如下2、信号转换状态1:东西方向车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。
状态2:东西方向车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行;状态3:东西方向车道的红灯亮,车道,人行道禁止通行;南北方向车道的绿灯亮,车道,人行道通行;状态4:东西方向车道的红灯亮,车道,人行道禁止通行;南北方向车道的黄灯亮,车道,人行道缓行;3、方案选用JK触发器,设状态编码为:S0=00 S1=01 S2=11 S3=10,其输出为Q1 Q0,则其状态表为:表1 状态编码与信号灯关系表4、倒计时计数器十字路口要有数字显示,作为倒计时提示,以便人们更直观地把握时间。
具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1,计数方式工作,直至减到数为“5”和“0”,十字路口绿、黄、红灯变换,一次工作循环结束,而进入下一步某方向的工作循环。
在倒计时过程中计数器还向译码器提供模5的定时信号T5和模0的定时信号T0。
交通灯控制电路综合设计实验
放风筝小学生二年级作文7篇放风筝是清明时节人们所喜爱的一项活动,此时的气候风向也非常适宜放风筝。
下面是小编为大家整理的放风筝小学生二年级作文7篇,仅供参考,欢迎大家阅读借鉴。
放风筝小学生二年级作文1星期天下午,阳光明媚,微风吹拂,天气格外温暖,我的心情也很好,因为叔叔要带我去放风筝。
我和叔叔一路走一路说笑着,不知不觉就来到了广场。
广场上的人可真多呀!很多人都在放风筝。
天上的风筝一个比一个飞得高,像鸟儿一样在空中自由地盘旋。
看着一个个高高飞起的风筝,我的心痒痒的,已经有些迫不及待了。
我是第一次放风筝,所以需要身为高手的叔叔示范一次。
只见叔叔拉着风筝线边跑边慢慢放线,不一会儿,风筝便高高地飞了起来。
看着叔叔的示范,我觉得我会放风筝了。
于是,我学着叔叔的样子慢慢放线。
因为我总站在原地,风一停,风筝就会掉下来。
这时,叔叔对我喊:“跑,跑起来!”听了这话,我立马在广场上跑起来,风筝果然如叔叔说的那样飞了起来。
但是广场上放风筝的人太多了,我一放开跑,风筝线就和别人的风筝线缠在一起。
叔叔赶紧过来帮我解开风筝线,并教了几种方法避开别的风筝。
我又重新开始放,这次很顺利,风筝飞得很高。
我仰望我的风筝,它像鸟儿一样在湛蓝的天空中飞翔,和其他风筝一起,让这场空中舞会变得热闹非凡。
望着天空飞舞的风筝,我不禁想到,有时我们就像那风筝,总想飞得更高更远,可总被拿着风筝线的父母紧紧拽着,可换个角度想,没有了父母的帮助,我们怎会高高飞起?放风筝小学生二年级作文2星期天下午,秋高气爽,微风习习,我兴高采烈地和妈妈去太子山公园放风筝我的风筝是金鱼形状的,它有一双圆溜溜的眼睛、淡蓝色的鱼鳞、金色的脑袋和金黄色的尾巴,非常惹人喜爱!我们来到太子山公园,看道人们三个一群五个一伙的在放风筝。
天上无颜六色、形态各异的风筝让人眼花缭乱,有展翅高飞的老鹰,有精美别致的脸谱,有喜气洋洋的猪八戒,还有拖着长长尾巴的蜻蜓……我一边欣赏,一边和妈妈找了一个空旷的地方放风筝。
交通灯实训实验报告
一、实验目的1. 理解交通灯控制系统的工作原理。
2. 掌握使用单片机进行交通灯控制系统的设计与实现。
3. 提高动手实践能力和问题解决能力。
二、实验原理交通灯控制系统通常采用单片机作为核心控制单元,通过编程实现对交通灯的红、黄、绿三种灯光状态的切换。
本实验采用单片机(如STC89C52)作为核心控制单元,利用定时器实现灯光的定时切换,并通过LED灯模拟交通灯的灯光状态。
三、实验器材1. 单片机开发板(如STC89C52开发板)2. LED灯(红、黄、绿各一个)3. 电阻(根据LED灯的规格选择)4. 跳线5. 编程器6. 计算机四、实验步骤1. 硬件连接:- 将红、黄、绿LED灯分别连接到单片机的P1.0、P1.1、P1.2端口。
- 将电阻串联在每个LED灯的两端,防止LED灯过载。
- 将跳线连接到单片机的相关引脚,用于编程和调试。
2. 软件编程:- 使用Keil软件编写单片机程序,实现交通灯的控制逻辑。
- 设置定时器,实现灯光的定时切换。
- 编写主循环程序,根据定时器的值切换LED灯的状态。
3. 程序调试:- 将程序烧录到单片机中。
- 使用示波器或逻辑分析仪观察LED灯的状态,确保程序运行正常。
4. 实验验证:- 将LED灯连接到实际交通灯的位置。
- 启动单片机,观察LED灯的状态是否符合交通灯的控制逻辑。
五、实验结果与分析1. 实验结果:- 红灯亮时,表示禁止通行。
- 绿灯亮时,表示允许通行。
- 黄灯亮时,表示准备切换到红灯。
2. 实验分析:- 通过本次实验,掌握了使用单片机进行交通灯控制系统的设计与实现。
- 了解了定时器在实现灯光切换中的作用。
- 提高了动手实践能力和问题解决能力。
六、实验总结1. 优点:- 实验操作简单,易于上手。
- 理论与实践相结合,提高了学生的动手能力。
2. 不足:- 实验内容较为简单,未能涉及到复杂交通灯控制系统的设计。
- 实验器材较为有限,限制了实验的拓展性。
七、实验拓展1. 研究复杂交通灯控制系统的设计,如多路口交通灯协同控制。
EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器
交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
交通灯设计实验报告
交通灯设计实验报告交通灯设计实验报告引言:交通灯是城市交通管理中不可或缺的一部分,它们起着引导和控制车辆和行人流动的重要作用。
然而,随着城市化进程的加快和交通流量的不断增加,传统的交通灯设计已经不能完全满足人们对交通效率和安全的需求。
因此,在本次实验中,我们对交通灯的设计进行了一系列的改进和尝试,并进行了实地测试和数据分析。
一、设计目标和原则:在进行交通灯设计之前,我们首先明确了设计的目标和原则。
我们的目标是提高交通效率、减少交通拥堵、保障行人安全,并尽可能减少对环境的不良影响。
在设计的原则上,我们遵循了以下几点:灵活性、可变性、可控性、可视性和可持续性。
二、设计改进一:智能感应系统为了提高交通效率和减少拥堵,我们引入了智能感应系统。
该系统通过使用传感器和计算机视觉技术,实时监测和分析交通流量,并根据实际情况调整交通灯的信号周期。
例如,在交通流量较大的道路上,交通灯的绿灯时间会相应延长,以减少车辆排队等待的时间,提高交通效率。
三、设计改进二:行人优先信号为了保障行人的安全,我们增加了行人优先信号。
在传统的交通灯设计中,行人只有在车辆信号为红灯时才能过马路。
然而,由于车辆流量大,行人常常需要等待较长时间才能过马路,容易引发不安全行为。
因此,我们在交通灯上增加了行人信号灯,当行人信号为绿灯时,车辆信号为红灯,行人可以安全地过马路。
这样一来,不仅提高了行人的安全性,也减少了行人与车辆的冲突。
四、设计改进三:倒计时显示为了增加交通灯的可视性和可控性,我们在交通灯上增加了倒计时显示。
倒计时显示可以让行人和车辆清楚地知道绿灯或红灯还有多长时间结束或开始,从而更好地掌握过马路的时间。
这样一来,行人和车辆可以根据倒计时显示来合理安排自己的行动,减少等待时间和不必要的停车。
五、实地测试和数据分析为了验证我们设计的改进是否有效,我们在城市的交通繁忙路口进行了实地测试,并收集了相关数据进行分析。
通过对比实验组和对照组的数据,我们发现在采用智能感应系统、行人优先信号和倒计时显示的交通灯设计下,交通效率明显提高,车辆排队时间减少了30%,行人过马路的等待时间减少了40%。
交通灯设计实验报告
一、实验目的1. 理解交通灯控制系统的基本原理和设计方法。
2. 掌握使用单片机进行交通灯控制系统的设计与实现。
3. 培养动手实践能力和团队协作精神。
二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其主要目的是通过红、黄、绿三种信号灯的变换,实现对车辆和行人的有序通行。
本实验采用单片机作为控制核心,通过编写程序实现对交通灯的控制。
三、实验设备1. 单片机开发板(如51单片机开发板)2. 交通灯模块(红、黄、绿三色LED灯)3. 按键模块4. 数码管模块5. 电阻、电容等电子元器件6. 调试工具(如万用表、示波器等)四、实验步骤1. 系统设计(1)确定交通灯控制系统的功能需求:实现红、黄、绿三色LED灯的交替闪烁,满足交通信号灯的基本要求。
(2)设计系统框图:单片机作为核心控制单元,通过编写程序实现对交通灯的控制。
系统框图如下:```+------------------+ +------------------+ +------------------+| | | | | || 单片机 |-------| 交通灯模块 |-------| 按键模块|| | | | | |+------------------+ +------------------+ +------------------+```(3)编写程序:根据系统需求,编写单片机控制程序,实现红、黄、绿三色LED灯的交替闪烁。
2. 硬件搭建(1)将单片机开发板与交通灯模块、按键模块、数码管模块等连接。
(2)根据电路原理图,连接电阻、电容等电子元器件。
(3)使用万用表测试电路连接是否正确。
3. 软件编程(1)使用C语言编写单片机控制程序。
(2)编译程序,生成可执行文件。
(3)将可执行文件烧录到单片机中。
4. 系统调试(1)使用示波器观察单片机引脚输出波形。
(2)检查交通灯模块是否正常工作。
(3)使用万用表测试按键模块是否正常工作。
(4)根据实际情况调整程序参数,确保系统稳定运行。
(2023)交通灯设计实验报告(一)
(2023)交通灯设计实验报告(一)交通灯设计实验报告实验目的该实验旨在设计一种新型交通灯,以提高路口交通的安全性、高效性和可靠性。
实验背景当前的交通灯系统虽然在一定程度上起到了规范和控制车辆流量的作用,但也存在一些问题,如:•路口拥堵现象普遍,尤其在高峰时间段更加明显;•一些交通灯时间过长,造成车辆等待时间过长,浪费时间和资源;•部分路口交通灯信号错乱、不同步等问题,导致道路交通的混乱和车祸事故频发。
针对以上问题,需要设计一种更为智能化的交通灯系统。
设计理念本设计基于物联网、人工智能等技术,旨在实现以下目标:•基于现有路况和历史流量数据,动态调整交通灯信号时间,避免过长等待和拥堵;•设计交通灯与车辆无线连接,实现智能标识和导航功能,提高车辆通过路口的效率;•通过网络连接交通灯系统,实现自适应和自主控制,避免信号错乱和路况混乱。
实验流程1.确定设计方案并绘制原始草图;2.设计系统图以及各子系统功能模块图,并对其进行优化;3.利用物联网和人工智能技术实现交通灯与车辆的联动;4.设计并实现相关硬件电路、软件程序、以及移动端APP等;5.进行系统整体测试,实现效果评估。
实验成果经过多次实验和测试,本设计方案成功实现了自适应、自主控制、智能导航、智能标识等功能,基本满足设计理念所要求的目标。
总结与展望本设计方案采用了一些前沿的技术和方法,旨在提高交通灯的安全性、高效性和可靠性。
虽然目前我们的系统表现出了良好的效果,但是我们仍然需要不断优化和完善,以达到更为完美的状态。
未来,我们将继续深入探索物联网和人工智能等新技术的应用,进一步优化交通灯的设计和性能,提高其功能和可靠性。
同时,我们也将进一步研究和推广交通智能化技术,为城市交通管理和交通安全事业做出更大的贡献。
参考文献•王锐等. 基于物联网技术的智能交通灯设计[J]. 电子设计工程, 2018, 26(2): 78-80.•李超等. 基于人工智能的交通灯控制算法设计[J]. 江苏电力技术, 2017, 41(9): 129-133.•彭小敏. 基于人工智能与物联网的交通安全管理[J]. 信息通信, 2019, 18(1): 47-50.。
交通灯控制系统设计-实验报告
交通灯控制系统设计-实验报告
实验目的:设计一个交通灯控制系统,实现对交通灯的自动控制。
实验材料:
1. Arduino UNO开发板
2. 红绿黄LED灯各1个
3. 杜邦线若干
实验原理:
交通灯系统的控制主要是通过控制LED灯的亮灭来实现。
红
色LED灯表示停止,绿色LED灯表示通行,黄色LED灯表
示警示。
通过控制不同LED灯的亮灭状态,可以模拟交通灯
的不同信号。
实验步骤:
1. 将红色LED灯连接到Arduino开发板的数字输出引脚13,
绿色LED灯连接到数字输出引脚12,黄色LED灯连接到数
字输出引脚11。
2. 在Arduino开发环境中编写控制交通灯的程序。
3. 将Arduino开发板与计算机连接,将程序上传到Arduino开
发板中。
4. 接通Arduino开发板的电源,观察交通灯的亮灭状态。
实验结果:
根据程序编写的逻辑,交通灯会按照规定的时间间隔进行变换,实现红绿灯的循环。
实验总结:
通过本次实验,我们设计并实现了一个简单的交通灯控制系统。
掌握了Arduino编程和控制LED灯的方法,加深了对控制系
统的理解。
通过实验,我们发现了交通灯控制系统的重要性和意义,为今后的交通控制提供了一种可行的解决方案。
交通灯设计实验报告
《电子技术实践及仿真》孙丽霞主编
《数字电子计数基础》周良权主编
0
1
0
0
0
0
1
3
1
1
1
0
0
0
1
0
0
0
0
1
4
1
1
1
1
0
0
1
0
0
0
0
1
5
1
1
1
1
1
0
0
1
0
0
0
1
6
1
1
1
1
1
1
0
0
1
1
0
0
7
0
1
1
1
1
1
0
0
1
1
0
0
8
0
0
1
1
1
1
0
0
1
1
0
0
9
0
0
0
1
1
1
0
0
1
1
0
0
10
0
0
0
0
1
1
0
0
1
1
0
0
根据状态表,不难列出东西方向和南北方向绿,黄,红灯的逻辑表达式:
东西方向绿灯:EWG=Q4Q5
图3
B.控制器电路
本实验选用8位寄存器74LS164组成扭环行十二进制计数器。扭环型十二进制计数器的模块电路图4示:
表5扭环形十二进制计数器的状态表
t
计数器输出
南北方向
东西方向
Q0
实验八交通灯控制电路的设计
特殊灯光信号
在某些情况下,交通灯还具 有特殊的灯光信号,如左转 箭头、行人过街等,以满足 不同交通需求。
控制电路设计思路
微控制器核心
采用微控制器作为控制核心,通过编程 实现交通灯灯光信号的时序控制。
输出驱动电路
设计合适的输出驱动电路,以驱动交 通灯的LED或灯泡,确保灯光信号的
稳定性和亮度。
输入信号处理
经验教训分享
电路设计需严谨
在电路设计时,应充分考虑元器件的选型、布局 和连接方式,确保电路的稳定性和可靠性。
调试过程需耐心
在电路调试过程中,遇到问题时需保持冷静,耐 心分析并逐一排查故障,确保电路的正常运行。
团队协作很重要
在实验过程中,团队成员之间应充分沟通、协作 配合,共同解决问题,提高工作效率。
问题诊断及优化措施
问题诊断
针对仿真结果中不符合设计要求的部分,进行问题诊断,找出 可能的原因,如元器件参数不合适、电路连接错误等。
优化措施
根据问题诊断的结果,采取相应的优化措施,如调整元器件参数、修 改电路连接方式等,以提高交通灯控制电路的性能和稳定性。
再次仿真测试
对优化后的交通灯控制电路进行再次仿真测试,验证优化 措施的有效性,并记录优化后的仿真结果。
06
实验总结与展望
实验成果总结
交通灯控制电路的成功设计
通过合理的电路设计和元器件选择,成功实现了交通灯的红黄绿 灯光控制,且运行稳定可靠。
实Hale Waihona Puke 了定时控制功能通过内置的定时器模块,实现了交通灯的定时控制,使得灯光能够 按照设定的时间间隔进行切换。
完成了实验报告与演示
详细记录了实验过程、数据分析、电路图及实验结果,并进行了实 验演示,验证了交通灯控制电路设计的可行性。
交通灯控制电路设计实验(实验报告)
学号 **********东北师范大学2015——2016学年学年小论文学院、系物理学院专业名称电气及其自动化年级 2014级学生姓名伍敏2016年11月1日一.设计背景如今,红绿灯成为管制交通的最有效的手段之一。
作为疏导交通必不可少的工具,已经出现在各个交通路口。
红绿灯的出现有效的减少了交通事故的发生,提高了道路的畅通性。
因此,为了巩固对课堂知识的理解,更进一步了解单片机结构与功能,加强自己的动手实践能力,本人决定用单片机来实现简单模拟交通灯的设计。
二.设计功能1.东西方向车道和南北方向车道上车辆交替运行。
2.路口数码管按秒倒计时显示数字作为提醒。
3.红灯亮表示禁止通行,绿灯亮表示允许通行。
4.数码管显示时间共用,按秒倒计时显示数字作为提醒。
5.黄灯时间和绿灯时间可以进行更改,红灯时间默认为两个时间相加。
三.所需元件1. 74ls1922. 74ls2453. 741384. 7486 四异或门5. 7474双D 触发器6. 发光二极管 12个(红黄绿各3个)7. 电容电阻若干8. 晶振9.导线若干南东西北 交通路口示意图主 干 道四.实验设计部分设计思路五.单元设计电路1.秒信号发生器:本来想选用555定时器实现秒信号产生的额基本功能,因为在课上接触到的比较熟悉,但是由于某些原因,不让使用555定时器,只能够想用32768晶振和CD4060搭配,通过分频实现秒信号发生的功能,而且由于555定时器受到的外界因素影响较大,使用晶振产生的秒信号会更加的稳定。
状态译码电路 输出 电路状态产生电路时间倒计时电路 时间预置电路 南北方向计时东西方向计时秒信号产生电路2.时间预置电路:74LS245:同相三态双向总线收发器,通过G端口的选择,可以选择由A向B发送数据或者是由B向A发送数据。
每个芯片有着八个开关,前四个开关控制的计时的个位数据,后四个开关控制的计时的十位预置数据。
而预置数据具体选择的是哪一个芯片上的数据由G控制,任何时刻两个芯片只有其中的一个能正常传输数据,而另一个不能传输。
实验报告交通灯范文
实验报告交通灯范文实验报告:交通灯设计与制作一、实验目的通过设计与制作交通灯,了解交通灯的原理与性能特点,并能够实现其正常运行。
二、实验器材1. ATmega16开发板2.LED灯x33.电阻、电容等电子元件4.连接电线、面包板等实验用具三、实验原理与方法交通灯是一种交通信号设备,用于指示各种交通情况下的行车和行人通行。
本实验通过使用ATmega16开发板控制LED灯的亮灭,实现交通灯的正常运行。
具体的原理与方法如下:1. 硬件部分:使用ATmega16开发板作为主控制器,通过连接LED灯和其他相关电子元件,控制LED灯的亮灭。
通过设置不同的亮灭模式,实现交通灯的切换。
2.软件部分:使用C语言编写程序,通过控制IO口的高低电平,实现对LED灯的控制。
通过循环控制,实现交通灯的切换。
四、实验步骤1. 硬件连接:根据电路原理图连接ATmega16开发板、LED灯、电阻、电容等电子元件。
2.软件编写:通过使用C语言编写程序,实现交通灯的正常运行。
具体的软件编写步骤如下:(1)包含头文件:引入所需的头文件,包括IO口设置、延时、函数等。
(2)定义IO口:通过定义IO口,实现对LED灯的控制。
(3)初始化:初始化相关变量和IO口。
(4)交通灯模式设置:通过设置不同的亮灭模式,实现交通灯的切换。
(5)主循环控制:通过循环控制,实现交通灯的正常运行。
3. 烧录程序:将编写好的程序通过编程器烧录到ATmega16开发板中。
4.实验现象观察:观察LED灯的亮灭情况,验证交通灯的正常运行。
五、实验结果与分析经过实验,我们成功地实现了交通灯的设计与制作,并验证了交通灯的正常运行。
当设置不同的亮灭模式时,LED灯能够按照预定的程序顺序进行亮灭,实现了交通灯的切换。
通过观察LED灯的亮灭情况,我们可以有效地判断交通灯的当前状态,指导车辆和行人的通行。
六、实验总结通过本次实验,我们对交通灯的原理与性能有了更深入的了解,并通过实践掌握了交通灯的设计与制作方法。
单片机交通灯实验报告(二)
单片机交通灯实验报告(二)引言概述本报告旨在介绍单片机交通灯实验的进一步研究。
通过对单片机交通灯实验的深入探讨,我们将了解交通信号灯电路的设计原理、控制逻辑以及实际应用的相关知识。
本文将分为五个大点进行阐述,包括:电路设计、控制逻辑编程、硬件连接、功能扩展和实验结果分析。
正文一、电路设计1. 确定交通信号灯的基本电路结构2. 选择适当的电子元件并进行电路布局3. 绘制电路原理图和PCB布局图4. 按照电路设计进行焊接和组装二、控制逻辑编程1. 理解交通信号灯的控制逻辑2. 学习并掌握单片机编程语言3. 根据控制逻辑编写程序代码4. 调试程序的运行,确保交通信号灯按照预期进行切换5. 优化控制逻辑,提高程序效率和稳定性三、硬件连接1. 连接交通信号灯的LED灯及其它电子元件2. 理解并实现灯光的正反相控制3. 使用适当的电阻进行电流限制4. 连接并配置单片机与电路的通信接口5. 建立单片机与计算机之间的连接,方便程序下载与调试四、功能扩展1. 添加电子组件以实现交通信号灯的更多功能2. 尝试不同的交通灯控制算法3. 增加人车辨别传感器以实现智能化控制4. 加入音效与声光提示功能,提高交通信号灯的可视性和可听性5. 设计并实现交通流量的实时监测和统计功能五、实验结果分析1. 对交通信号灯的各项功能进行实验验证2. 分析实验结果,评估系统的性能和稳定性3. 总结实验中遇到的问题和解决方案4. 提出改进交通信号灯设计的建议总结通过本文详细的阐述,我们了解了单片机交通灯实验的电路设计、控制逻辑编程、硬件连接、功能扩展以及实验结果分析等方面的知识。
这些内容不仅对于我们更深入地了解交通信号灯的工作原理和应用具有重要意义,而且为我们开展相关实际项目提供了指导和启示。
希望本报告能够帮助读者更好地理解和应用单片机交通灯实验。
单片机交通灯实验报告
引言:随着城市交通的发展,交通灯作为交通管理的重要组成部分,起着至关重要的作用。
为了研究和实践交通灯的基本原理和实现方法,本文进行了单片机交通灯实验。
本实验通过使用单片机来模拟和控制交通灯的运行,以实现交通流畅和安全。
概述:交通灯是城市交通管理的重要组成部分,通过控制交通灯的信号变化,可以实现不同车辆和行人的交通流畅和安全。
单片机作为实验的控制器,可编程控制交通灯的运行,增强交通流畅性。
正文:一、单片机交通灯实验的背景和意义1.单片机交通灯实验的背景交通灯在城市交通管理中具有重要的地位和作用,通过控制交通灯的信号变化,可以实现车辆和行人的有序通行。
单片机交通灯实验为进一步研究交通灯原理和实现方式提供了实践基础。
2.单片机交通灯实验的意义单片机交通灯实验可以帮助学生理解并掌握交通灯的基本原理和控制方式,培养学生的创新思维和动手能力,并为进一步研究和改进交通灯系统提供参考。
二、单片机交通灯实验的设计和实施1.设计交通灯的硬件结构a.硬件元件选择和连接方式b.单片机选择和编程2.实施交通灯的控制逻辑和操作a.基本的交通灯控制逻辑b.交通灯的运行和状态转换三、单片机交通灯实验的分析和评价1.对交通流畅性的影响分析a.不同信号时间间隔对交通流量的影响b.交通灯控制方式对交通流畅性的影响2.对交通安全性的评价a.不同交通灯参数对交通安全的影响b.交通灯设施对行人安全的影响3.对实验结果的分析和总结a.实验数据的收集和处理b.结果的呈现和解释四、单片机交通灯实验的改进和优化方向1.优化交通灯的控制算法a.基于流量的自适应控制算法b.基于信号的智能预测算法2.改进交通灯的硬件设计a.使用更高效的电子元件和材料b.结合无线通信技术和传感器技术进行实时监测和控制五、单片机交通灯实验的应用和展望1.在城市交通管理中的应用前景a.提高交通流畅性和安全性的需求b.单片机交通灯技术的潜在优势2.可能的进一步研究方向a.基于互联网的智能化交通灯系统b.基于算法的全自动交通控制系统总结:通过本次单片机交通灯实验,我们对交通灯的原理和实现方法有了更深入的了解。
EDA交通灯实验报告.pdf
EDA实验报告题目:交通灯设计学院:电子工程学院专业:电子信息工程作者:王正帅 14020120007 导师:孙万蓉EDA实验报告:交通灯设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。
要求:(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)东西主干道上的绿灯时间为25秒,南北支干道的绿灯时间为25秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。
路口示意图如下:图 1 路口交通示意图表1 交通信号灯的4种状态A B C东西主干道交通灯绿(25秒)黄(5秒)红(30秒)南北支干道交通灯红(30秒)黄(5秒)绿(25秒)设计要求:(1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。
二、设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制2、设计说明(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。
模块说明:系统输入信号:Clk: 由外接信号发生器提供50MHz的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。
模块说明:系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化控制信号th:倒计数值秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。
实验报告二-模拟交通灯实验
实验报告二-模拟交通灯实验实验目的:本次实验旨在通过模拟交通灯实验,了解交通灯的工作原理、设计及调节方法。
实验原理:交通灯是城市交通管理中不可缺少的部分,广泛应用于道路、路口等地方,用以调整交通流量和保障行人和车辆的交通安全。
基本上,每个交通灯系统都由信号控制器、信号球、绿地检测器组成。
信号控制器是交通灯系统的核心部分,通过控制信号球的点灯和熄灭,向车辆、行人发出指令。
实验器材:1. Arduino控制板;2. LED灯若干;3. 面包板;4. 杜邦线;5. 电阻。
实验步骤:1. 通过面包板将Arduino控制板与电阻、LED灯连接;2. 在Arduino控制板上编写程序,实现交通灯模拟;3. 连接电源,通过Arduino IDE输入程序运行。
实验结果:经过程序处理,LED灯按照交通灯的颜色进行变换,使得其能够模拟实际交通灯的工作状态,达到预期效果。
实验教训:在实验过程中,我们发现LED灯的管脚与面包板接触不良时,会出现程序不能正常运行的情况。
因此,我们在连接器件时要确保接触良好,并注意防静电。
实验思考:本次实验通过模拟交通灯,我们深刻认识到交通灯的工作原理以及对道路交通的重要意义。
合理设置交通灯,不仅能够保障行人和车辆安全,而且还能提高道路的通行效率。
因此,在今后的实践活动中,我们应该更加注重交通灯的科学研究和实际应用。
结语:通过本次实验,我们进一步认识到交通灯对于城市交通管理的重要性,同时也掌握了基本的交通灯原理和设计方法。
相信在今后的学习和研究中,我们将能够更好地提高交通管理的水平和效率。
单片机交通灯实验报告
一、实验目的1. 理解单片机在交通灯控制系统中的应用原理。
2. 掌握单片机编程方法,实现交通灯的自动控制。
3. 学会使用Proteus进行电路仿真和调试。
4. 培养动手实践能力和团队协作精神。
二、实验环境1. 硬件:STC89C52单片机、数码管、LED灯、电阻、电容、按键、三极管等元器件。
2. 软件:Keil C51、Proteus 8.0。
三、实验原理本实验基于STC89C52单片机,通过编程实现交通灯的红、黄、绿三色灯光切换,并利用数码管显示倒计时功能。
系统主要包括以下模块:1. 单片机控制模块:负责控制LED灯的亮灭和数码管的显示。
2. 数码管显示模块:显示交通灯状态和倒计时时间。
3. 按键模块:实现交通灯的紧急停用功能。
四、实验步骤1. 电路连接:根据原理图连接单片机、数码管、LED灯、电阻、电容、按键等元器件。
2. 程序编写:使用Keil C51编写单片机控制程序,实现以下功能:- 初始化单片机I/O端口;- 设置定时器中断,实现倒计时功能;- 编写主循环程序,控制LED灯的亮灭和数码管的显示;- 编写按键中断程序,实现紧急停用功能。
3. 仿真调试:使用Proteus软件对电路进行仿真,观察LED灯和数码管的显示效果,确保程序运行正确。
4. 实物测试:将程序烧录到单片机中,连接实物电路,测试交通灯控制系统是否正常工作。
五、实验结果与分析1. LED灯控制:通过编程实现LED灯的红、黄、绿三色灯光切换,模拟交通灯的运行状态。
2. 数码管显示:数码管显示倒计时时间,方便观察交通灯的运行状态。
3. 按键控制:按下按键,实现交通灯的紧急停用功能。
实验结果表明,本实验成功实现了单片机控制的交通灯系统,达到了预期目标。
六、实验总结1. 通过本次实验,掌握了单片机编程方法,实现了交通灯的自动控制。
2. 学会了使用Proteus进行电路仿真和调试,提高了动手实践能力。
3. 培养了团队协作精神,与同学共同完成了实验任务。
8255交通灯控制设计
三、设计提示 1、十字路口交通灯的变化规律要求 (1)南北路口的绿灯,东西路口的红灯同时亮30秒左右。 (2)南北路口的黄灯闪烁若干次,同时东西路口红灯继续亮。 (3)南北路口的红灯,东西路口的绿灯同时亮30秒左右。 (4)南北路口的红灯继续亮,同时东西路口的黄灯闪烁若干次。 (5)转(1)重复。 2、清楚每个端口的输入输出操作、工作方式等正确书写控制 字。 四、实验重点或难点 1、熟悉8255并行接口芯片的数据传输特点。 2、掌握8255的控制字的定义。 3、掌握8255的接线方法,并学会如何控制端口数据的传行接口芯片8255的使用与硬件接口的方法 2、掌握通过8255A并行接口传送数据的方法,以控制LED发 光二极管的燃灭,实现十字路口交通灯模拟控制 二、实验内容 1、要完成本实验,首先必须了解交通路灯的亮灭规律;程序 中应设定好8255的工作模式,使三个端口均工作于方式0,并处 于输出状态。 2、用8255的A端口或C端口控制6个发光二极管,交通灯用两 组红、黄、绿发光二极管代表;分别作为南北路口的交通灯和 东西路口的交通灯;以摸拟交通灯管理。编程使六个灯按交通 灯变化规律燃灭。
课程设计交通灯实验
课程设计交通灯实验一、课程目标知识目标:1. 学生能理解交通灯的工作原理,掌握红、黄、绿三灯的控制逻辑。
2. 学生能够运用所学知识设计简单的交通灯控制电路。
3. 学生了解交通灯在生活中的作用,认识到科技与社会生活的紧密联系。
技能目标:1. 学生通过实验操作,提高动手实践能力和问题解决能力。
2. 学生能够运用电路设计软件或工具进行简单电路的设计与搭建。
3. 学生能够在小组合作中,学会沟通与协作,提高团队协作能力。
情感态度价值观目标:1. 学生对科学实验产生兴趣,培养探索精神和创新意识。
2. 学生在实验过程中,学会尊重事实,养成严谨的科学态度。
3. 学生通过实验,认识到交通规则的重要性,增强社会责任感和法制意识。
课程性质:本课程为科学实验课,旨在通过交通灯实验,让学生在实践中学习科学知识,提高动手操作能力和问题解决能力。
学生特点:学生处于五年级阶段,具有一定的科学知识基础,好奇心强,喜欢动手操作,但需要引导和培养团队协作能力。
教学要求:教师应注重理论与实践相结合,关注学生的个体差异,引导学生在实验中发现问题、解决问题,培养学生的创新意识和实践能力。
教学过程中,注重学生知识、技能、情感态度价值观的全面发展。
通过分解课程目标为具体学习成果,便于后续教学设计和评估。
二、教学内容1. 交通灯基础知识:介绍交通灯的起源、发展及其在现代社会中的作用,结合课本相关章节,让学生了解交通灯的基本构成和工作原理。
- 红黄绿三灯的控制逻辑- 交通灯的定时控制原理2. 实验器材与工具:学习并掌握实验所需器材的使用方法,如电子元件(电阻、电容、二极管等)、电路板、电线等。
- 电子元件的认识与使用- 电路板的焊接与搭建3. 交通灯控制电路设计:运用所学知识,设计简单的交通灯控制电路,学会电路调试与故障排查。
- 电路图的绘制- 电路设计与搭建- 故障排查与调试4. 实践操作与小组合作:分组进行实验操作,培养学生的动手实践能力和团队协作能力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
FPGA 实现交通灯控制系统的设计一、实验目的与要求掌握用FPGA 可编程逻辑器件实现交通灯控制系统的基本功能的设计方法。
熟悉交通灯控制系统的ASM 图和状态转换图的设计方法。
二、实验原理1、设计要求设计一个十字路口主干道和支干道的交通灯控制系统,其示意图如图1-1所示。
主干道支干道主干道支干道(a) 交通灯干道示意图 (b) 交通灯控制框图图1-1 交通灯控制示意图其功能要求如下:(1)支干道两边安装传感器S ,要求优先保证主干道的畅通。
主干道绿灯亮、支干道红灯亮,并且主干道绿灯亮的时间不得少于60秒。
(2)主干道无车,支干道有车时,则主干道红灯亮、支干道绿灯亮。
但支干道绿灯亮的时间不得超过30秒。
(3)主干道或支干道绿灯变红灯时,黄灯先亮5秒钟。
2、系统组成框图根据上述功能要求,设计的交通灯控制系统组成框图如图1-2所示。
其中定时器向控制器发出定时信号T L (主干道绿灯亮60秒)、Ts(支干道绿灯亮30秒)和T Y (黄灯亮5秒),如果定时时间到,则控制器向定时器发出状态转换信号S T ,定时器清零,准备重新计数。
译码电路在控制器的控制下,改变红、绿、黄交通灯的显示。
时钟1-2 交通灯控制系统组成框图3、画ASM图设HG、HY、HR分别表示主干道绿灯、黄灯、红灯;FG、FY、FR分别表示支干道绿灯、黄灯、红灯。
T L为主干道绿灯亮的最短时间,不少于60秒;T S为支干道绿灯亮的最长时间,不多于30秒。
T Y为主干道或支干道黄灯亮的时间为5秒。
定时器分别产生60秒、30秒、5秒三个定时时间,向控制器发出定时时间已到信号,控制器根据定时器及传感器的信号,决定是否进行状态转换。
如果肯定,则控制器发出状态转换信号S T,定时器开始清零,准备重新计时。
设交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2和S3表示:S0状态:主干道绿灯亮支干道红灯亮,此时若支干道有车等待通过,而且主干道绿灯已亮足规定的时间间隔T L,控制器发出状态转换信号S T,输出从状态S0转换到S1。
S1状态:主干道黄灯亮,支干道红灯亮,进入此状态,黄灯亮足规定的时间间隔T Y时,控制器发出状态转换信号S T,输出从状态S1转换到S2。
S2状态:支干道绿灯亮,主干道红灯亮,若此时支干道继续有车,则继续保持此状态,但支干道绿灯亮的时间不得超过T S时间间隔,否则控制器发出状态转换信号S T,使输出转换到S3状态。
S3状态:支干道黄灯亮,主干道红灯亮,此时状态与S1状态持续的时间间隔相同,均为T Y,时间到时,控制器发出S T信号,输出从状态S3回到S0状态。
对上述S0、S1、S2和S3四种状态按照格雷码进行编码分别为00、01、11和10,由此得到交通灯控制系统的ASM图如图1-3所示。
设系统的初始状态为主干道绿灯亮、支干道红灯亮,用S0状态框表示。
当S0状态持续时间T L大于等于60秒,并且支干道有车等待通过,传感器S=1时,此时满足判断框中的T L·S=1条件,系统控制器发出状态转换信号S T,由条件输出框表示,同时系统从状态S0转到主干道黄灯亮、支干道红灯亮的S1状态。
依此类推得出1-3所示的ASM的图。
1-3 交通灯控制器ASM 图4、设计交通灯控制器的各功能模块电路(1)设计控制器T YT SL ⋅图1-4 交通灯控制器状态转换图根据图1-3所示交通灯控制系统的ASM 图,得出系统状态图如图1-4所示。
ASM 图中的状态框与状态图中的状态相对应,判断框中的条件是状态转换的输入条件,条件输出框与控制器状态转换的输出相对应。
状态图是描述状态之间的转换,例如在S 0状态,如果条件T L .S=1时,系统状态转移到S 1,同时输出状态转换信号S T 。
如果T L ·S = 0,则系统保持在S 0状态。
(2)设计定时器定时器由与系统秒脉冲同步的计数器构成,时钟脉冲上升沿到来时,在控制信号S T 作用下,计数器从零开始计数,并向控制器提供模M5、M30和M60信号,即T Y、T S和T L 定时时间信号。
当系统处于S0状态,为满足主干道绿灯亮、支干道红灯亮的定时时间T L≥60秒,当二进制计数器从0计数到59时,要将M60的输出端反馈到计数器的使能端EN,使它计到59时停止计数,并保持在M=60的状态直到支干道有车要通过时,才转换到S1状态。
要求计数器在状态转换信号S T作用下,首先清零,然后开始计数。
定时器框图如图1-5SM5图1-5 定时器框图计数器具有高电平有效使能端EN,低电平有效同步清零端CLR和进位输出端C O。
控制器发出的S T信号是高电平有效,所以经反相后接至计数器清零端,当计数到Q5 Q4Q3Q2Q1Q0=111011,即M=60时,C o=1,将其反相后接入使能端EN,就可以保持在M=60状态。
定时器也可以采用可预置计数初始值的递减计数器实现,当计数器从初始值59减到0时停止计数,具体实现方法请读者自己思考。
(3) 设计译码器系统的输出是在Q1Q0驱动下的六个信号灯,各状态与信号灯的关系如表1-1示表1-1 信号灯与控制器状态编码表三、实验内容与步骤全部程序由学生设计,实验步骤如下:1、设计交通灯控制系统模块程序(参考程序见附录1)。
2、仿真实验:在quartusII9.0开发环境下,对交通灯信号控制系统的程序进行仿真实验(设计输入-编译设计项目-仿真验证)。
3、分配可编程逻辑器件芯片的引脚,如表1-2示(参考程序)。
表1-2 交通灯控制系统引脚分配(参考程序)注:(1)引脚49~59:译码器输入,与实验八定义相同。
(2)拨码开关S1-4向上为“0”,表示支干道无车;拨码开关S1-4向下为“1”表示支干道有车。
4、下载程序:将计算机并口与FPGA下载部分的DB25接口连接,开启数字部分的电源开关S4,运行quartusII9.0的下载程序,下载完成后主干道绿灯亮,从“00”开始计数。
5、功能测试:按照表1-2进行操作。
表1-2 交通灯控制系统功能测试四、设计性实验报告要求1、简述交通灯信号控制系统的工作原理。
2、描述你设计的交通灯信号控制系统的程序设计思路与ASM流程图工作原理。
3、描述各个程序模块的功能,编写各个电路模块的程序,将编写的源程序作为文件附录。
4、将仿真的波形作为文件附录。
5、参考表1-2 所示的交通灯控制系统,列出功能测试表。
6、分析电路装调中出现的故障及解决的措施。
7、实验心得体会(实验中出现的问题及解决的措施,对动手能力的培养,教学建议等。
)预备知识实验设备电路板结构一、实验设备电路板的布局图图1 实验设备电路板布局图《ZH-1电子线路综合设计实验教学系统》实验设备电路板的布局图如图1所示。
它由红外数据传输部分、高频发射-接收部分(含LC振荡与调频、高频功放、FM接收、频率合成)、FPGA数字电路部分以及自主设计电路的实验面包板部分所组成。
本实验设备自带稳压电源,接通设备箱外部右边的三相交流电源插座(内带0.5A保险丝),开启电源开关,交流电源指示灯亮,内部直流稳压电源开始工作。
实验电路板上共有4个电源开关,其中红外部分的电源开关是S3,FPGA数字电路部分的电源开关是S4,频率合成部分的电源开关是S1,LC振荡与调频、高频功放和FM接收这三个电路板共用一个电源开关S2。
以上电源接通10分钟以后才能做实验。
自主设计电路的实验面包板部分的电源可以由外接的稳压电源引入,也可以由FM接收部分的电源接线柱提供的直流电压(+5V、+12V、-12V和GND)引入。
注意这些电压之间不能短路!!以免将本设备的电源损坏!!二、各实验电路板部分的信号测试端定义1、红外部分的信号测试端定义TJ100 37KHz编码调制信号端TJ101 红外信号接收端(TTL电平输出)TJ104 红外调制信号端JP100短路子单片机89S51的P31与红外发射部分的连接端。
如果发射单片机的信号,将JP100的短路子短接。
如果发射自己设计的电路信号就断开JP100,信号由TJ104引入。
J100(20芯插座)单片机89S51的P2和P3与外部的I/O接口DB25插座89S51系列单片机的程序下载接口S101 滑动开关,向上拨下载程序;向下拨运行程序。
TP-WDOG2 89S51系列单片机的程序下载接口,此接口电路必须自行设计。
2、LC振荡与调频部分的信号测试端定义TJ400 LC振荡电路的输出端(5.35MHz正弦波信号)TJ401 模拟调制信号(1KHz)输入端TJ402 数字调制信号(TTL)输入端J5短路子调频信号的输出与功放部分的输入连接端。
3、频率合成部分的信号测试端定义TJ300 MC145151的4脚输出的直流信号TJ301 频率合成信号的输出端TJ303 频率合成信号转变为TTL电平后经1024分频信号的输出端UD300 八组拨码开关(SW8最高位,SW1最低位)J300 频率合成器外部信号输入端(拨码开关UD300的1-8全向下拨)。
4、功放部分的信号测试端定义TJ500 丙类功放的输出端TJ501 射随器的输出端TJ502 丙类功放的输入端TJ503 射随器的输入端,自行设计LC振荡调频电路时,J5短路子开路,振荡调频电路由此端接入。
J6短路子功放部分输出与FM接收部分输入连接端,无线传输时短路子开路。
5、FM接收部分的信号测试端定义TJ600 MC3361输入信号测试端TJ601 解调信号经放大后的输出端TJ602 解调信号经整形后的TTL输出端TJ603 解调信号经功放后的输出端(调节电阻R605,可衰减输入信号。
)6、FPGA数字部分的信号端定义DB25插座FPGA程序下载接口,与计算机的并口直接相连EPF10K10LC84-4的资源分配见附录17、电源接线柱+5V接线柱+12V接线柱-12V接线柱GND接线柱。