触发器功能模拟

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA技术实验项目报告

项目题目:触发器功能模拟

姓名:

院系:应用技术学院

专业:电子信息工程(职教)

学号

指导教师:

综合成绩:

完成时间: 2012 年5月16 日

一、项目实验内容摘要

(1)实验目的:

1、掌握触发器功能的测试方法。

2、掌握基本RS触发器的组成及工作原理。

3、掌握集成JK触发器和逻辑功能及触发方式。

4、掌握几种主要触发器之间相互转换的方法。

5、通过实验,体会CPLD、FPGA芯片的高集成度和多I/O口。

(2)实验内容:

用“代码输入法”将基本RS触发器,同步RS触发器,集成J-K触发器,D触发器同时集成在一个FPGA芯片中模拟其功能,并研究其相互转化的方法。

实验的具体实现要连线测试。

(3)实验原理

如图2—3—1

图2—3—1

二、项目实验源代码

library ieee;

use ieee.std_logic_1164.all;

entity mff is

port(sd,rd,r,s,clk,j,k,d:in std_logic;

qrs,nqrs,qrsc,nqrsc,qjk,nqjk,qd,nqd:out std_logic); --定义多触发器I/O.

end mff;

architecture mff of mff is

signal qtp, qbtp,dd,ndd: std_logic;

begin

rsff:process(rd,sd) --基本RS触发器功能模拟

begin

if rd='0' and sd='1' then

qrs<='0';nqrs<='1';

elsif rd='1' and sd='0' then

qrs<='1';nqrs<='0';

elsif rd='1' and sd='1' then null;

end if;

end process rsff;

rsc:process(clk,rd,sd,r,s) --同步RS触发器功能模拟begin

if sd='0' then

qrsc<='1'; nqrsc<='0';

elsif rd='0' then

qrsc<='0'; nqrsc<='1';

elsif clk='1' then

if r='0' and s='1' then

qrsc<='0';nqrsc<='1';

elsif r='1' and s='0' then

qrsc<='1';nqrsc<='0';

elsif r='0' and s='0' then null;

end if;

end if;

end process rsc;

jk:PROCESS(clk, sd, rd, j, k) --JK触发器功能模拟BEGIN

IF sd='0' then qtp<='1'; qbtp<='0';

elsif rd='0' THEN qtp<='0';qbtp<='1';

elsif rising_edge(clk) then

if j='0' and k='0' then null;

elsif j='0' and k='1' then

qtp<='0'; qbtp<='1';

elsif j='1' and k='0' then

qtp<='1'; qbtp<='0';

else

qtp<=NOT qtp; qbtp<=NOT qbtp;

end if;

end if;

qjk<=qtp;nqjk<=qbtp;

end process jk;

dff:process (clk,rd,sd,d) --D触发器功能模拟begin

if (rd='0') then dd<='0'; ndd<='1';

elsif(sd='0') then dd<='1'; ndd<='0';

elsif rising_edge(clk) then dd<=d; ndd<=not d;

end if;

qd<=dd; nqd<=ndd;

end process dff;

end mff;

三、项目实验工具软件的选用以及实验过程

实验步骤:

1、建立工作文件

2、创建工程

3、编译前设置

4、全程编译

5、时序仿真

6、引脚设置和下载

7、配置文件下载

8、编程配置器件

实验的硬件要求:

1、输入:按键开关,拨码开关。

2、输出:LED 灯。

3、主芯片:EP1K1OTC100-3。

4、计算机QUARTUSⅡ软件。

5、EDA2000实验箱。

四、项目实验结果

基本 Rs 触发器状态表

同步 Rs 触发器状态表

J-K 触发器状态表

D 触发器状态表

五.项目实验分析

难点:要实现同步RS触发器、JK触发器、D触发器的逻辑功能必须将使能端处于高电平(即:rd,sd置“1”)。还要注意的是:在本次实验中JK触发器是下降沿有效;D触发器是上升沿有效。CLR清零端,高电平有效(即:CLR置“1”)

实验结果:见“项目实验结果”

实验小结:通过本次实验进一步加深了对触发器功能的掌握,对QUARTUSⅡ软件进一步熟悉了,相信通过以后的实验,我会逐步逐步的掌握QUARTUSⅡ软件的基本应用。

六.项目实验参考资料

《EDA技术与实验》

陈立万陈强

赵威威李洪兵姜玉泉编著

七.小组验收记录及评价

相关文档
最新文档