Astro的布局与布线_经验总结
Astro完全流程
![Astro完全流程](https://img.taocdn.com/s3/m/d374046cb207e87101f69e3143323968011cf42e.png)
Astro完全流程Astro文档 V1.0数据准备(必需的文件)Foundry提供:·Technology File (.tf);·CLF Files (.clf);·GDSII Stream Files;·Reference Library;用户自己编写或编译:·Capacitance Model (.tlu):TLU or TLU+;·Pad Sequence Information (.tdf);·Timing Constraints (.sdc): 由DC产生的时钟约束文件;Astro 具体设计流程1. 建立设计库(Create Library)加入所需的工艺文件,建立一个新的工作库。
2. 生成TLU+模型(create TLU+ Model)将由Star-RCXT产生的.itf文件转化成.tlu文件,同时注意此步需要一个.map文件。
3. 同样可以产生TLU模型一般情况下,.tf文件含有相关信息,通过下面命令可实现:Tech File > Create Capacitance Model …4. 加参考库(addAndShowRefLib)在新建的设计库中加入Foundry提供的参考库,可以分别加入几个参考库。
之后,可以显示已经加入的参考库。
5. 读入网表(read Verilog)读入网表,Astro支持.v,.vhd,.edf等多种网表格式,我们组都采用Verilog语言编写程序,因此后缀名为.v。
6. 打碎网表(可选)若此网表为层次化的网表,需打碎网表,/doc/4012040478.html,L变为topcell.EXP,同时定义电源和地。
7. 生成cell及绑定网表(openLibAndBind)打开工作库,生成一个新的cell,绑定.EXP文件。
8. 层次化保护***Cell > Initialize Hierarchy InformationCell > Mark Module Instance Preserve9. 连接电源与地(connectPGnets)指定所有相关ports到电源或地。
Astro布局布线流程(解密)
![Astro布局布线流程(解密)](https://img.taocdn.com/s3/m/9c89e6c5d5bbfd0a79567350.png)
Astro布局布线流程随着深亚微米工艺的应用,逻辑门间的连线主导了电路的时序性能。
在实际设计时,设计者不再只是完成简单的逻辑门摆放和连线工作,更重要的是要降低实际的连线延迟,使最后的物理设计时序性能满足设计要求。
Astro是由Synopsys公司开发的物理设计软件,适用于现在的主流设计。
Astro提供了一套完整的物理设计流程,布局布线同时还具有时序优化功能,可以在一次流程中使设计电路达到预设指标。
但Astro步骤繁多、设定复杂,本章将针对Astro的设计流程借助一个简单的设计实例,对Astro一次流程中的主要步骤进行简单说明,使读者短时间内可以了解Astro。
一.数据准备本流程中需要的输入数据包括:网表文件(Netlist File)、时序约束SDC文件(Timing Constraint File)、参考库(Reference Library)、定义PAD顺序或位置信息的TDF文件(Top Design File)、工艺tf文件(Technology File)。
其中工艺文件和部分参考库文件由Foundry提供。
1.网表:网表文件由前端工程师提供,格式一般采用verilog(.v)格式。
布局布线用网表文件一般由Design Compiler(DC)综合得到。
从DC中输出网表时,需要在脚本中如下命令,以满足Astro的要求。
2.时序约束文件:时序约束文件由前端工程师提供,格式一般采用SDC(.sdc)格式,SDC文件也由DC中输出。
时序约束文件中所加的约束只能针对顶层端口,文件中时钟定义可能需要加以修改。
因为从DC中输出的时钟定义一般不指定具体的单元及其端口,Astro通常很难根据这样的时钟定义找到真正的时钟源,因此需要先在网表中找到真正的时钟端口,并据此在SDC文件中加以修改。
例如,原来的SDC文件中时钟的定义片段为:原来的时序约束文件是给予综合的DC用,而不是用来布局布线的。
所以综合用的SDC文件中只给出了一个“产生时钟(create clock)”的命令,根据上面这段时序约束文件中的片段,Astro一般无法找到clk_div/clk,因此需要将“get_pin”的目标修改替换为在网表中的一个实际的时钟产生的单元:clk_div/CK01D1/Z.。
Synopsys系列工具简介
![Synopsys系列工具简介](https://img.taocdn.com/s3/m/5bc0936801f69e31433294e4.png)
Synopsys系列工具简介Synopsys的产品线覆盖了整个IC设计流程,使客户从设计规范到芯片生产都能用到完备的最高水平设计工具。
公司主要开发和支持基于两个主要平台的产品,Galaxy设计平台和Discovery验证平台。
这些平台为客户实现先进的集成电路设计和验证提供了整套综合性的工具。
Synopsys解决方案包括:System Creation(系统生成)System Verification and Analysis(系统验证与分析)Design Planning(设计规划)Physical Synthesis(物理综合)Design for Manufacturing(可制造设计)Design for Verification(可验证设计)Test Automation(自动化测试)Deep Submicron, Signal and Layout Integrity(深亚微米技术、信号与规划完整性技术)Intellectual Property and Design Reuse Technology(IP 核与设计重用技术)Standard and Custom Block Design(标准和定制模块设计)Chip Assembly(芯片集成)Final Verification(最终验证)Fabrication and Packaging(制造与封装设计工具)Technology CAD(TCAD)(工艺计算机辅助设计技术)主要包括以下工具:1.VCS (Verilog Compiled Simulator)2.DC (Design Compiler)3.ICC (IC Compiler)4.PT (PrimeTime)5.Hercules (Hercules Physical Verification)6.Star-RCXT (parasitic extraction tool)7.LEDA (LEDA Checker and LEDA Specifier)8.Formality (RTL to gate-level equivalence checking of cell-based designs)9.TetraMAX ATPG (Provides manufacturing test patterns for scan designs)1.VCS (Verilog Compiled Simulator)VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。
Astro过程1
![Astro过程1](https://img.taocdn.com/s3/m/7af7b7695bcfa1c7aa00b52acfc789eb172d9e81.png)
Astro过程1、产生Milkyway的参考库1). Astro输入的数据文件:●技术文件(.tf)●参考库(参考库由以下的文件产生:1、技术文件(.tf);2、GDSII文件(包含了物理版图信息);3、综合库文件(.lib or .db 包含了cell的时序和功能))●设计库(Design_library),由以下文件产生:1、技术文件(.tf);2、GDSII文件(.gds 包含了物理版图信息);3、顶层设计文件(.tdf)包含了pin和port的信息。
4、约束文件(.sdc 包含了时序约束和时钟的定义,来自于综合过程);5、网表文件(.v or .vhd or .edf)包含V erilog、VHDL或者EDIF文件;6、设计库文件(.db)包含了网表,时序和设计规则约束。
2). Astro产生的数据文件●布局后产生的时序文件(design.sdf)●寄生信息(parasitic.spef or parasitic.spf)●优化过后的网表(.v(flattened)or .hv(hierarchical))●Layout文件(.gds)●(optional)cell 放置位置信息(.pdef)●(optional)网表和布局信息(.def)●(optional)版图、时序和技术信息3)Milyway 库目录Synopsys的Milyway 库目录是目录和文件的集合。
顶层目录是参考库或着是设计库。
参考库包含标准单元库、宏库和引脚库,这几个库构成一个设计。
1)数据库●CEL view:版图物理单元数据,包含输入的和astro产生的;●FRAM:版图数据提取出的布局布线数据;●SMASH:打平的CEL view;●HTV:版图的层次化时序数据,类似于和其它synopsys工具的一个接口;2)时序、功耗和逻辑文件夹●LM(Logic Model)view:时序,功耗和逻辑信息(新的格式提供了类似于TIM和PWR的数据信息)。
车载卫星电视安装布线示意图-SUV
![车载卫星电视安装布线示意图-SUV](https://img.taocdn.com/s3/m/da88ae352f60ddccda38a0f5.png)
5.遥控接 收头放置 位置,线 顺着立柱 通往副驾 底下。
6.将AUX线 一头插入 扶手箱预 留接口, 一头伸到 副驾底下 接收机处 。
7.将头枕 屏电源线 和接收机 电源线并 联,依次 连接好天 线接头、 遥控延长 线接头、 头枕视频 接头、AUX 音频接头 。
8.接收机 放于副驾 座位底 下,整理 好线材, 不能影响 座位前后 移动。
车载卫星电视安装布线示意图
一、天线连接线布线:
1.打开后 备箱,用 十字螺丝 刀拧开两 颗螺丝, 拉出尾灯 。 2.将尾灯 连接线的 塑料皮塞 开一小 口,天线 连接线穿 过皮塞到 车内。
3.撬开后 门侧板, 将连接线 沿着侧边 往前穿。
4.将连接 线从上往 下预留出 足够长 度,并用 3M双面胶 粘牢固。 恢复尾灯 。
5.将线引 入车内, 顺着踏板 穿到副驾 座位底下 。
二、头枕屏布线:
1.拆下座 椅背板下 面两根绷 带,用力 往下往后 拉。 3.拔掉原 车头枕, 换上我司 头枕屏, 接好连接 线,用胶 布裹紧。
2.卡扣位 置如图。
4.恢复好 背板,将 头枕线沿 着扶手箱 底部塞好 隐藏,穿 到副驾驶 座位下。
5.将两个 头枕屏的 视频线连 接到接收 机对应孔 位,电源 线正负极 和接收机 电源线并 联,用胶 布裹好。
9.装俯仰角度,安装时头枕线预留一定长度,方便调整上下高度。 2.天线连接线暴露部分用3M双面胶粘帖固定于尾箱处。 3.原车中控切换到AUX状态时可听到电视的声音,电视图像只通过头枕屏显示。 4.安装时按下接收机上电源开关按键,使其处于常开状态,电视随车启动。若长期 不看时可关掉电源开关。
三、接收机布线:
2.如图, 保险盒在 最下面, 打开保险 盒盖板可 见到保险 丝。 3.用电表 量出ACC保 险丝,将 电源线缠 绕到该保 险丝引 脚,做到 接触牢固 。找到搭 铁螺丝作 为电源负 极。
芯片设计中的布局与布线优化
![芯片设计中的布局与布线优化](https://img.taocdn.com/s3/m/e070fcc3c9d376eeaeaad1f34693daef5ef713d2.png)
芯片设计中的布局与布线优化一、简介芯片设计是安装在电路板上的小型电子元件的集合体,常见于计算机硬件、手机、平板电脑等电子设备上。
在芯片设计中,布局和布线的优化对整个设计过程和结果至关重要。
本文将从芯片设计中的布局和布线的概念入手,详细讲解其优化的关键性。
二、芯片设计中的布局布局是指在芯片面积内,放置各个电子元器件的位置。
在设计芯片布局时,必须兼顾以下四个方面:1.电源分布电源分布是指在整个电路板上,将不同功率的电子元件区分开来,避免功率过于集中。
这需要在设计芯片布局时,按照功率大小和相关性将不同的元器件分布到不同的区域中。
2.信号干扰不同类型的电子元器件之间会产生信号干扰。
这种干扰会导致芯片电路发生故障,也使芯片的性能降低。
因此,在芯片的布局过程中,应该在记录信号干扰的特性,采用不同的技术避免信号干扰。
3.热传导芯片在工作时通常会变热,这就需要将芯片元器件的热产生和散热能力考虑在内,以确保芯片的长期稳定性。
4.空间效率芯片的应用范围广泛,在布局时需要将实现电路功能所需的元器件的数量和占用面积最小化。
以此来保证芯片尽可能小,而设计成功后芯片效率的提高。
三、芯片设计中的布线布线是指在芯片内部,将各个电子元器件连通的电线的安排问题。
芯片布线同样需要遵循以下三个方面的概念:1.路线长度芯片内部电线的长度尽可能短。
路线长度越短,就越不容易产生信号的传播时间差。
在设计芯片的布线时,需要让所需要的元器件在最短距离内连线,这可以提高芯片的性能。
2.电路可靠性在芯片设计中,需要保证电线的连通性和可靠性。
这需要每一个电子元器件都需要有一路电线连结,而且在连接点上要保证连线稳定,这样芯片的可靠性就得以保证。
3.布线密度芯片中的元器件电线布线的密度要足够的小,以降低信号传播后的反弹情况。
当信号反弹时,容易产生干扰。
这对于芯片的性能而言是致命的。
四、布局与布线优化布局和布线是芯片设计过程中重要的一部分,有着密切的关系。
布局和布线的优化是实现设计成功必要的工作。
Astro的布局与布线_经验总结
![Astro的布局与布线_经验总结](https://img.taocdn.com/s3/m/61820dc3d5bbfd0a79567340.png)
Astro中的布局布线流程和注意事项作者:CC,Zhan版本号日期备注基本流程和注意事项;0.5 2005-4-10主要是H053A流片的经验总结;Astro中的布局布线流程Asro是功能强大的布局布线工具,其基本流程如下:1、读入网表,跟foundry提供的标准单元库和Pad库以及宏模块库进行映射;2、整体布局,规定了芯片的大致面积和管脚位置以及宏单元位置等粗略的信息;3、读入时序约束文件,设置好timing setup菜单,为后面进行时序驱动的布局布线做准备;4、详细布局,力求使后面布线能顺利满足布线布通率100%的要求和时序的要求;5、时钟树综合,为了降低clock skew而产生由许多buffer单元组成的“时钟树”;6、布线,先对电源线和时钟信号布线,然后对信号线布线,目标是最大程度地满足时序;7、为满足design rule从而foundry能成功制造出该芯片而做的修补工作,如填充一些dummy等。
这七大步骤是基本的流程,其中每大步骤里面包含很多小的步骤,并根据各个不同的芯片特点而有很多的变化。
各大步骤的流程依次如下:该流程如下:1、创建库,库的名称最好就是前端输入的网表文件的名称,如H053A,需要提供技术文件,如smic18_6lm.tf,注意把大小写设置为敏感;命令是cmCreateLib2、添加参考库,主要包括foundry提供的(也可能是第三家公司帮忙foundry做的库,如Artisan的库)标准单元库和IO库,以及前端定制的宏单元库,如cache,RAM,ROM,PLL等;添加完毕之后显示参考库以确认;命令是cmRefLib和cmShowRefLib3、读入前端网表,注意拿到网表后往里面手动添加电源PAD和CORNER单元,读入的应该是经过这步添加的网表;命令是auVerilogIn4、展开网表,因为一般读入的是层次化的网表,需要flatten;命令是cmCmdExpand5、打开第一步创建的库并且新创建一个CELL,名字也最好是跟网表文件名称一致;命令是geOpenLib和geCreateCell6、绑定,就是把展开的网表绑定到刚创建的CELL中,这样,这个CELL就包含了网表中的所有元件;命令是axgBindNetlist7、保存网表的层次化信息到Astro的数据库中;这样布局布线结束后能输出层次化的网表进行后仿。
astro 用法
![astro 用法](https://img.taocdn.com/s3/m/9397980ece84b9d528ea81c758f5f61fb7362885.png)
Astro 用法Astro 是一个为 Android 设备开发的全功能文件管理工具。
它提供了多种实用的功能,如文件浏览、文件传输、文件搜索等。
本文将介绍Astro 的常见用法,并对其进行详细的说明和操作指南。
一、安装和启动 Astro在 Google Play 商店搜索 "Astro",下载并安装应用程序。
安装完成后,点击应用图标即可启动 Astro。
二、文件浏览和管理1. 主界面导航栏:Astro 主界面的顶部是导航栏,显示当前所在的目录路径。
点击导航栏可以快速跳转到其他目录。
2. 文件列表:Astro 主界面中央是文件列表,显示当前目录下的所有文件和文件夹。
通过滑动屏幕可以浏览更多文件。
点击文件或文件夹可以进行相应的操作。
三、文件操作1. 复制和粘贴:长按文件或文件夹,选择 "复制",然后导航到目标文件夹,长按空白处,选择 "粘贴",即可将文件复制到目标位置。
2. 剪切和粘贴:长按文件或文件夹,选择 "剪切",然后导航到目标文件夹,长按空白处,选择 "粘贴",即可将文件移动到目标位置。
3. 删除文件:长按文件或文件夹,选择 "删除",确认后即可删除文件。
4. 重命名文件:长按文件或文件夹,选择 "重命名",输入新的文件名,确认后即可修改文件名。
四、文件搜索在 Astro 主界面的导航栏中,点击搜索图标,输入关键字,即可进行文件搜索。
Astro 将根据关键字搜索文件名、文件类型等相关信息,并将结果显示在文件列表中。
五、文件传输1. WiFi 文件传输:在 Astro 主界面的导航栏中,点击传输图标,选择 "WiFi",然后按照提示连接设备和电脑到同一 Wi-Fi 网络。
在电脑浏览器输入提供的 IP 地址,即可通过浏览器上传和下载文件。
2. 蓝牙文件传输:在 Astro 主界面的导航栏中,点击传输图标,选择 "蓝牙"。
电路布线与布局规范避免干扰和提高电路性能
![电路布线与布局规范避免干扰和提高电路性能](https://img.taocdn.com/s3/m/53e91b230a1c59eef8c75fbfc77da26924c59654.png)
电路布线与布局规范避免干扰和提高电路性能在电子设备中,电路布线与布局是非常重要的步骤。
良好的布线与布局规范能够有效地避免电路之间的干扰,并提高电路的性能。
本文将介绍一些电路布线与布局的规范,帮助读者避免干扰并提高电路性能。
1. 确定电路板尺寸与组件尺寸:在开始电路布线之前,需先确定电路板的尺寸与组件的尺寸。
这样可以避免布线过于拥挤,导致电路之间产生严重的干扰。
2. 划定地线和电源线:地线和电源线是电路中最为重要的线路。
在布线时,应该尽量保持地线和电源线的长度相等,以减少电路中的共模噪声。
3. 分离模拟与数字信号:模拟信号和数字信号之间具有不同的特性,因此应该将它们分开布线。
通过分离模拟与数字信号,可以避免干扰的发生,提高电路的性能。
4. 保持信号走向简洁:在布线时,应该尽量保持信号走向的简洁。
避免信号线过长或过曲折,这样能减少信号的功耗,并提高信号的传输速率。
5. 使用合适的孔位间距:电子设备中的电路板上有很多的孔位,这些孔位通常用于焊接元件。
在布局过程中,应合理选择孔位的间距,以适应不同尺寸的元件。
6. 避免平面型布线:平面型布线是指将信号线与地线或电源线平行布置在电路板上。
这种布线方式容易产生互相干扰,并且会导致信号失真。
因此,在布线时应尽量避免使用平面型布线。
7. 使用合适的绝缘材料:为了避免信号之间的相互干扰,可以在不同层次之间使用合适的绝缘材料进行隔离。
8. 控制接口位置:在多个电路板连接的接口处,应尽量减小信号的距离,以降低传输时延并减少信号的损失。
9. 引脚布局优化:在布局过程中,应尽量将具有相似功能的引脚放置在一起,以减少信号干扰,提高电路的稳定性。
10. 增加地线与电源线的宽度:地线和电源线是电路中承载大电流的线路。
通过增加地线与电源线的宽度,可以降低电阻,减少功耗,并提高电路的性能。
通过遵循上述电路布线与布局规范,可以有效地避免干扰,提高电路性能。
在实际操作中,还应根据具体的电路设计需求进行调整和优化,以确保电路的稳定性和可靠性。
无人深空模块摆放原理
![无人深空模块摆放原理](https://img.taocdn.com/s3/m/5a5416f368dc5022aaea998fcc22bcd126ff42a0.png)
无人深空模块摆放原理1.深空模块的选址无人深空模块的选址是摆放原理的首要考虑因素。
选址的依据通常是科学任务的需求,如太阳能电池板的接收能量需求、维持地球对准的需求等。
同时也需考虑到其他的因素,如避免与其他卫星的碰撞、减少空间碎片的产生等。
地球到近地轨道(Low Earth Orbit)和地球到地球光影区(induced solar light-shadow region)的距离通常在1000公里左右,摆放模块的选择要在这两个范围内作出。
2.模块间的相对位置控制无人深空模块的多模块配置是需要相对位置控制的。
这涉及到航向控制、姿态控制和相对距离控制。
航向控制是指控制模块的飞行朝向,使其保持与其他模块的相对位置稳定。
姿态控制则是保持模块的方向姿态稳定,防止模块的朝向发生剧烈变化,例如模块的方向始终指向地球,或者保持模块的面向太阳。
相对距离控制是控制模块之间的距离,使模块间的相对位置保持相对稳定。
这些控制通常是由模块自身的推进系统、陀螺仪和惯性导航系统实现的。
3.能量和通信的配备无人深空模块需要能量源来维持其正常运行。
太阳能电池板是最常用的能量源,通过吸收太阳光转化为电能。
因此摆放模块时需要考虑到太阳能电池板的摆放方向和角度,以保证能量的高效收集。
同时,模块之间需要进行通信,传输控制指令和科学数据。
通信设备需要进行布置,以保证模块之间的高效通信。
4.空间碎片和避碰措施无人深空模块的摆放原理还需要考虑到空间碎片和避免碰撞的措施。
空间碎片是太空中存在的大量废弃物和残骸,其速度非常高,可能对无人深空模块造成严重的损害。
因此,在摆放模块时需要考虑到避免或减少与空间碎片的碰撞。
一种常用的措施是模块的位置布置,使其尽量远离已知的空间碎片轨道。
5.维护和修理的便利性总结起来,无人深空模块的摆放原理是一个多方面的考虑和设计问题,需要将科学任务需求、能量和通信需求、相对位置控制、空间碎片和避碰措施,以及维护和修理的便利性等方面综合考虑,从而实现模块的安全、稳定和高效运行。
synopsys ic compiler 介绍、安装、调试和设计流程
![synopsys ic compiler 介绍、安装、调试和设计流程](https://img.taocdn.com/s3/m/278c72d85022aaea998f0f45.png)
synopsys ic compiler 介绍、安装、调试和设计流程加入该小组相关分类:petery (组长) 2007/9/23 顶楼举报一、介绍synopsys ic compiler (v2005.linux)是基于Galaxy设计平台开发的产品。
主要的工具有:LEDALEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。
LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力VCSVCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。
VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off 的要求。
VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。
VCS已经将CoverMeter 中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。
VCS 和Scirocco也支持混合语言仿真。
VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。
SciroccoScirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。
它与VCS一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。
Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。
这一性能对要进行整个系统验证的设计者来说非常重要。
VeraVera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。
数字后端流程二【astro】[精华]
![数字后端流程二【astro】[精华]](https://img.taocdn.com/s3/m/cc2e23d9a0c7aa00b52acfc789eb172ded639939.png)
Astro 笔记Y uzh 2009-11-13Astro 是数字后端的布局布线的重要工具,还数字后端设计中,关于Astro 的设计主要由以下几部分组成:【1】新建一个pnr 文件夹,在其子目录下创建clf cons in log lib out rpt script tf 以及一个makefile 文件【2】clf 文件夹中主要存放工艺延时功耗负载等相关文件以便导入主要可以有以下几个部分:antenna_*lm.clf *.clf.logic *.clf.power *.clf.time 可以由Foundry 提供的工艺库文件中拷贝【3】cons 文件夹中主要存放Macro PAD Locationa 文件Pin Location 文件,dbdefine 文件以及antenna rules 文件以便导入设计中使用【4】in 文件夹中主要存放有DC 综合后生成的综合网表*.sv 文件时序约束文件*.sdc 以及*.sdf 文件,但是需要对sdc 文件进行相关修改后才能作为Astro 布局布线的时序约束参考因此需要有一个Makefile 文件对其内容进行修改【5】lib 文件夹中存放有standard cell 的工艺库文件(如silterra18)Foundry 工艺库中拷贝【6】tf 文件夹中存放有设计工艺库的技术文件(如silterra18_*lm.tf)Foundry 工艺库中拷贝【7】script 为了布局布线修改方便常会将运行的Astro 命令导出到相应的脚本文件中,CTS 前布局一次为一个脚本,CTS 后优化布局为一个脚本,最后布线以及check 为一个脚本,存放在script 文件夹中分别命名为pnr_1.scm pnr_2.scm pnr_3.scm【8】其余的文件夹分别存放输出的报告和网表以及gds 文件,其中log 文件夹中为Astro 运行报告,rpt 中为Astro CTS clock skew 分析报告,out 中为gds 文件和布局布线后网表,以及Wire RC 参数提取文件*spefAntenna_rules 文件是截取Antenna_rules_*lm.clf 文件的一部分一般只截取dbAddAntennaLayerRule 的定义设计中如下截取:define _libId (dbGetCurrentLibId)dbDefineAntennaRule _libId 4 2 0 0dbAddAntennaLayerRule _libId 4 "METAL1" 400 '(0.203 0 400.00 2200)dbAddAntennaLayerRule _libId 4 "METAL2" 400 '(0.203 0 400.00 2200)dbAddAntennaLayerRule _libId 4 "METAL3" 400 '(0.203 0 400.00 2200)dbAddAntennaLayerRule _libId 4 "METAL4" 400 '(0.203 0 400.00 2200)dbAddAntennaLayerRule _libId 4 "METAL5" 400 '(0.203 0 400.00 2200)dbAddAntennaLayerRule _libId 4 "METAL6" 400 '(0.203 0 8000.00 30000)dbAddAntennaLayerRule_libId 4 "VIA12"20 '(0.203 083.3375)dbAddAntennaLayerRule_libId 4 "VIA23"20 '(0.203 083.3375)dbAddAntennaLayerRule_libId 4 "VIA34"20 '(0.203 083.3375)dbAddAntennaLayerRule_libId 4 "VIA45"20 '(0.203 083.3375)dbAddAntennaLayerRule_libId 4 "VIA56"20 '(0.203 083.3375)dbDefine 文件是为了Astro 做时钟树综合优化时作准备的,在CTS 之前需调用该文件,Astro 做时钟树时只对它默认的DFF 的时钟管脚做相关处理一般是定义了clock 但我们设计需要对一些多扇出的复位信号scan 链信号做处理就需要专门声明下这也是时钟树需要处理的信号,就在dbDefine 做这样的声明,一般有同步复位、异步复位、scan_enable 以及宏单元的clk 信号,异步复位最常用需要做scan 链才定义SE,有Memory 时才定义clk,具体设置如下:(let* ((selectedlist (geGetSelectedSet (getEditWindow))))(for-each (lambda (x)(let* ((instName (dbFetchObjectField (geGetEditCell) x "name")))(dbDefineSyncPin (geGetEditCell) instName '( ("RN" "nonInvertRise" 0) ("RN" "invertRise" 0)) )(dbDefineSyncPin (geGetEditCell) instName '( ("SN" "nonInvertRise" 0) ("SN" "invertRise" 0)) );; (dbDefineSyncPin (geGetEditCell) instName '( ("SE" "nonInvertRise" 0) ("SE" "invertRise" 0)) );; (dbDefineSyncPin (geGetEditCell) instName '( ("CLK" "nonInvertRise" 0) ("CLK" "invertRise" 0)) )))selectedlist))tdf 文件定义相关的PAD 或者是PIN 的位置信息,坐标描述方法基本一致设计中根据实际的需要略有不同如下:tdfPurgePadConstrdefine step15define offset50define off0pin "clk"39 0 0"right"0(set! offset(+ step1 offset))pin "rstn"39 0 0"right"0(set! offset(+ step1 offset))pin "scan_mode"39 0 0"right"0(set! offset(+ step1 offset))pin "mic_fm_sel" 39 0 0 "right" 0 (set! offset(+ step1 offset))在Astro 布局布线时需要根据DC 综合时设置生成的时序约束文件对相关cel(l standard cell)进行布局优化,通常在Place 设置时考虑congestion 和time 因素,通常考虑关键性的时序路径,满足设计的时序约束条件,而对于非关键路径的cell 可以放置的远离些,增加延时,如果将非关键路径的cell 布局在关键时序路径布局区域就会对其产生影响,使其布线拥塞增加布线难度。
astro集成电路后端设计流程
![astro集成电路后端设计流程](https://img.taocdn.com/s3/m/64288f1cff00bed5b9f31dfc.png)
导入SDC文件
• Timing--Constraints: Load SDC
(ataLoadSDC) • SDC (Synopsys Design Constraints)设计约束条件
Timing Setup(1)[选择寄生模型]
• Timing--AstroTime: Timing Setup • 选择TLU+寄生模型
门级网表是从哪来的?
• 门级网表通常是
Design Conpiler 这类综合工具产 生的。 • 这类逻辑综合工 具“综合”了 RTL (register transfer level) code,使它转换 成门级网表,并 在设计约束下使 其速度和尺寸最 优化。
前端提供的文件
• 综合后的门级的verilog文件 • 时序文件(SDC) • PAD放置信息文件(TDF) • 库转换文件(DEF)
连接电源地的macro端口和pad到环上
• PreRoute Macros/Pads • 这个步骤可以把macro的电源 地端口和电源地pad连到最近的 电源地环上
ASTRO流程[Placement]
Placemet Stag
•
Placemeng的目的就是以容易饶线为目标将元件摆放至core area,并达到时 序上的需求. 解决时序问题的方法有两种:一种是timing driven placement,利用cell moving方式尽量将关键途径上的元件摆放在一起以降低元件与元件之间相 互连接的延迟;另一种为placement optimization,包括cell bypassing cell resizing,buffer/inverter insertion等 如果电路中包括scan chain(扫描链),建议在进行placement之前,打断其 连接,在clock tree synthesis(CTS)之后再重新连接,这样饶线会比较容易。 Scan chain将所有的连续的一个个pin脚按顺序串起来,假设在placement 时没有按照其顺序摆放,那么饶线是非常困难的。但是在placement时就 最佳化摆放位置,会浪费非常多的执行时间,并且有可能无法对时序做最 佳化。因此,在placement之前必须将scan chain拿掉,等到CTS后再重新 连接起来,并且其连接的顺序会和打断前不同。
无人深空模块摆放原理
![无人深空模块摆放原理](https://img.taocdn.com/s3/m/ae41cbed48649b6648d7c1c708a1284ac9500550.png)
无人深空模块摆放原理介绍无人深空模块是一种用于探测和研究太空的设备,它能够在没有人类干预的情况下执行任务。
模块的摆放对于任务的成功执行至关重要,因此需要深入研究摆放原理和策略。
无人深空模块摆放原则在设计无人深空模块的摆放原则时,需要考虑以下几个方面:1. 重心平衡无人深空模块往往体积庞大且重量较大,为确保模块的稳定性和安全性,摆放时需要注意重心平衡。
模块的重心应尽量集中且位于整个结构的中心位置,以减少模块在行进过程中的晃动和不稳定性。
2. 功能和任务分区无人深空模块通常拥有多个功能模块和仪器设备,为了有效利用空间和确保任务的顺利执行,将模块进行功能和任务分区是必要的。
不同的模块可以被划分为不同的区域,以便于工作人员更好地控制和管理各个模块。
示例功能和任务分区:•区域1:能源供给与储存•区域2:生命支持与环境控制•区域3:科学实验与研究设备•区域4:通信和数据处理3. 安全性和可靠性无人深空模块往往需要经历极端的环境和条件,如高温、低温、强辐射等。
因此,在摆放模块时应考虑安全性和可靠性,避免模块过于靠近容易受损的区域,并采取相应的防护措施。
4. 通信和导航需求通信和导航是无人深空模块的核心功能之一,因此,在摆放模块时需要考虑通信和导航设备的位置和布局。
通信设备应尽可能位于模块的高处,以提高信号传输的效率和可靠性。
无人深空模块摆放策略在实际的无人深空任务中,模块的摆放策略需要进行全面考虑和综合分析。
以下是一些常见的摆放策略:1. 层级摆放层级摆放是将模块分为若干个层次,在每个层次上布置不同的功能模块。
这种摆放策略可以充分利用空间,在有限的空间中容纳更多的功能和设备。
示例:•第一层:能源供给与储存•第二层:生命支持与环境控制•第三层:科学实验与研究设备•第四层:通信和数据处理2. 中心集中摆放中心集中摆放是将模块的功能模块和设备集中在模块的中心位置,以便于控制和管理。
这种摆放策略可以更好地保持模块的平衡和稳定性。
光纤布线技巧与注意事项
![光纤布线技巧与注意事项](https://img.taocdn.com/s3/m/fdd1500f777f5acfa1c7aa00b52acfc788eb9f58.png)
光纤布线技巧与注意事项在进行光纤布线时,正确的技巧和注意事项至关重要,可以确保光纤网络的有效性和可靠性。
以下是光纤布线的一些建议和技巧。
1.规划布线路径:在开始布线之前,需要进行布线路径的规划。
光纤布线需要避免弯曲、切割和关节,可以减少光纤信号的损耗。
最好的方法是将光纤布线从一个中心位置延伸到各个位置,以最小化布线的长度和损耗。
2.保持纤芯干净:在光纤布线过程中,纤芯的清洁非常重要。
任何灰尘、油脂或其他污染物都会影响光纤信号的传输。
应使用纤芯清洁布或专业的纤芯清洁剂定期清洁纤芯。
此外,应保持纤芯完好无损,并避免弯曲或拉动纤芯。
3.正确选择光缆类型:在选择光缆时,需要考虑传输距离、带宽要求和环境因素。
单模光缆适用于长距离传输,而多模光缆适用于短距离传输。
此外,如果光缆需要在户外或有害环境中使用,还需要选择防水和抗腐蚀的光缆。
4.合理安排布线空间:光纤布线需要充足的空间和通风条件。
光缆应布置在电缆托盘或电缆架上,远离热源和电源线,以防止过热和干扰信号传输。
同时,光缆的布线路径也应尽量保持成直线,避免弯曲和旋转。
5.使用正确的插头和接头:选择高质量的光纤插头和接头非常重要,能够确保可靠的信号传输。
在使用插头和接头之前,应检查其外观和质量,确保无刮痕或损坏。
此外,还应按照厂商提供的指南正确安装插头和接头,确保连接的牢固和准确。
6.注意光纤的弯曲半径:在布线过程中,必须注意光纤的弯曲半径限制。
光缆的弯曲过度会导致光信号损失和断裂。
根据光缆类型的不同,弯曲半径限制也有所不同。
要遵循厂商提供的具体限制,并使用光缆易弯曲区域的保护套管。
7.妥善保管光纤:在光纤布线完成后,需要妥善保管光纤以避免损坏。
光缆应放置在纤芯保护管或电缆托架上,避免挤压和拉伸。
如果有需要移动光缆的情况,应谨慎操作,避免对光缆造成损坏。
8.定期检查和维护:光纤布线完成后,需要定期检查和维护。
检查光缆的外观,查看是否存在刮痕、损坏或其他问题。
Astro版图设计范例-new
![Astro版图设计范例-new](https://img.taocdn.com/s3/m/c5e73e15f18583d0496459d0.png)
Routing Grid 布线顺序 布线优化 (Global Routing、 Routing、Track Assignment、 Assignment、Detail Routing) Routing)
DFM
六、Design 六、Design for Manufacture
天线效应等 DRC: DRC:Design Rule Check LVS: LVS:Layout Versus Schematics SPEF & GDS Ⅱ
芯片概述
TSMC的0.13um工艺,4 TSMC的0.13um工艺,4层金属布线 Area:2060× Area:2060×2060 Macro Cells: 13 ram32x64 6 ram32x32 2 ram16x128 2 PLL 2 CLKMUL 1 IO Pad Cells: 87
Cell/Core Ratio: 49.453% SUN Blade 2000 4G Mem --> --> 40min.
Synopsys Astro 布局布线
设计内容
应用Synopsys的 应用Synopsys的 后端设计工具 Astro完成一款 Astro完成一款 RISC-CORE芯片 RISC-CORE芯片 的布局布线设计。 总结了布局布线的 一般流程,分析了 在此过程中所做的 各种优化。
Astro图形化界面
CTS (Globe Skew & Local Skew) 扫描链重新链接 PostPost-CTS Timing Setup
五、Routing 五、Routing
CTS Route Clock Nets
Route Signal Nets Optimize Trace Topology(拓扑) Post Route Clock Tree Optimization
布局布线1
![布局布线1](https://img.taocdn.com/s3/m/49acec6fa45177232f60a28b.png)
综合课程设计Astro自动布局布线版图设计报告专业:集成电路设计与集成系统班级:2班指导老师:王忆文杜涛时间: 2012年6月25日学生:一、实验名称:布局布线、二、设计要求:运用Astro软件对DC综合的设计进行布局布线,实现由标准单元、宏单元、压焊盘组成的版图设计。
三、实验原理:Astro是由 Synopsys公司开发的 ASIC 后端物理实现工具,适用于现在的主流设计。
Astro 提供了一套完整的深亚微米芯片物理设计流程,布局布线同时还具有时序优化功能,可以在一次流程中使设计电路达到预设指标。
Astro通过读入 DC 综合的网表和时序约束,对网表级的设计进行布局布线,转变成由标准单元、宏单元和压焊盘(Pad)组成的版图设计。
Astro的基本流程如下图所示:1. 数据准备:包括前段门级网表等的准备。
2. 设计建立:读入网表、添加标准单元库和I/O 库等。
3. 布局规划:定义核面积,放置电源 Pad、I/O Pad 和宏单元,修改宏单元的方向并定义宏单元布线块以防止拥挤,放置电源栅格(P/G grid)。
这是 Astro 布局布线中最关键的一步,其效果的好坏直接关系到布局布线能否成功。
4. 时序设置:读入时序约束文件,进行相关时序参数设置。
5. 布局:力求使后面布线能顺利满足布线布通率100%的要求和时序的要求。
6. 时钟树综合:为了降低clock skew而产生由许多 buffer 单元组成的“时钟树”。
7. 布线:先对电源线和时钟信号布线,然后对信号线布线,目标是最大程度地满足时序。
8. 可制造性设计:当布线完成,并且满足时序要求后,生产的芯片很可能还是不工作,还需要做一些工艺可制造性的问题,包括修补天线效应(Antenna Rules)、金属腐蚀(Metal Erosion)、金属层脱落(Metal Liftoff)等问题。
9.数据导出:输出层次结构的网表、GDS 数据、SDF(Synopsys Delay File)等文件。
DC、Astro设计流程初级手册范本
![DC、Astro设计流程初级手册范本](https://img.taocdn.com/s3/m/399e1fabbe23482fb5da4c3d.png)
DC、Astro设计流程初级手册〔%符号表示在Linux界面下直接输入命令〕直接可用注意:不要在widows下解压,否如此库文件格式会出问题。
第一局部逻辑综合这一局部主要在目录syn下进展。
第一节数据准备〔此局部已完成,下面的文字仅作说明,无需操作〕首先把stand cell、pad和ram的db库拷贝到syn/library下,把源代码〔.v〕拷贝到了syn/source下,把dc的setup文件〔.synopsys_dc.setup)拷贝到syn下,把综合的脚本〔包括约束脚本〕拷贝到syn/scripts下,并且创建了syn/output和syn/reports准备接收综合结果。
第二节运行Design piler进展逻辑综合进入syn目录,在tcl模式下启动综合器:%dc_shell-t调用tcl脚本进展综合:dc_shell-t>这一步可以自动完成整个综合过程。
具体细节可参见syn/ scripts下的run.tcl和cons.tcl文件。
完成以后,可以看到syn/output和syn/reports目录下有相应的文件输出。
退出dc_shell-t。
第三节数据分析综合过程中在syn/output下产生了4个文件,其中sdf是标准延时文件,用于仿真时的时序反标,sdc是标准的约束文件,用于约束后面的布局布线。
在syn/reports目录下产生了时序和面积的报告。
从报告文件中可以看出,时间上,最大的slack为-0.51ns,相对于时钟周期8ns较小,根本上可以进展布局布线。
2,且大局部为pad所占。
这是我们进展下一步布局布线的依据。
说明:1、因为setup timing往往是比拟关键的,我们综合时使用了slow库作为目标库。
2、综合时,把stand cell〔sc〕的库设为target_library,把sc、io、ram以与sythetic_library通通设为link_library。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Astro中的布局布线流程和注意事项作者:CC,Zhan版本号日期备注基本流程和注意事项;0.5 2005-4-10主要是H053A流片的经验总结;Astro中的布局布线流程Asro是功能强大的布局布线工具,其基本流程如下:1、读入网表,跟foundry提供的标准单元库和Pad库以及宏模块库进行映射;2、整体布局,规定了芯片的大致面积和管脚位置以及宏单元位置等粗略的信息;3、读入时序约束文件,设置好timing setup菜单,为后面进行时序驱动的布局布线做准备;4、详细布局,力求使后面布线能顺利满足布线布通率100%的要求和时序的要求;5、时钟树综合,为了降低clock skew而产生由许多buffer单元组成的“时钟树”;6、布线,先对电源线和时钟信号布线,然后对信号线布线,目标是最大程度地满足时序;7、为满足design rule从而foundry能成功制造出该芯片而做的修补工作,如填充一些dummy等。
这七大步骤是基本的流程,其中每大步骤里面包含很多小的步骤,并根据各个不同的芯片特点而有很多的变化。
各大步骤的流程依次如下:该流程如下:1、创建库,库的名称最好就是前端输入的网表文件的名称,如H053A,需要提供技术文件,如smic18_6lm.tf,注意把大小写设置为敏感;命令是cmCreateLib2、添加参考库,主要包括foundry提供的(也可能是第三家公司帮忙foundry做的库,如Artisan的库)标准单元库和IO库,以及前端定制的宏单元库,如cache,RAM,ROM,PLL等;添加完毕之后显示参考库以确认;命令是cmRefLib和cmShowRefLib3、读入前端网表,注意拿到网表后往里面手动添加电源PAD和CORNER单元,读入的应该是经过这步添加的网表;命令是auVerilogIn4、展开网表,因为一般读入的是层次化的网表,需要flatten;命令是cmCmdExpand5、打开第一步创建的库并且新创建一个CELL,名字也最好是跟网表文件名称一致;命令是geOpenLib和geCreateCell6、绑定,就是把展开的网表绑定到刚创建的CELL中,这样,这个CELL就包含了网表中的所有元件;命令是axgBindNetlist7、保存网表的层次化信息到Astro的数据库中;这样布局布线结束后能输出层次化的网表进行后仿。
注意,要先initial hierarchy preservation,然后mark module instances preserved;8、保存CELL,并另存一份备份。
命令是 (dbSaveCell (geGetEditCell)) 和geSaveAs该流程如下:1、Load TDF文件,这一步通常可以这样做:先Setup floorplan,然后dump io pins,修改dump出来的tdf文件,把各个PAD放到合适的位置,然后再把这个修改好的文件load进来;命令是axgLoadTDF2、Setup floorplan,注意设置合适的参数;命令是axgPlanner3、Connect ports to PG,这一步把网表中元件端口中电源和地部分标志为VDD和VSS,并且所有1和0也分别标志为VDD和VSS,这样以后布线的时候这些就能自动连接到电源和地上;命令是aprPGConnect4、放置宏单元模块;主要是鼠标操作;5、创建core的电源环;命令是axgCreateRectangularRings6、创建core的电源条带,使供电更充分;命令是axgCreateStraps7、把宏单元和PAD的电源和地连接到电源环上或者电源条带上;命令是axgPrerouteInstances8、把floorplan 的信息dump出来保存备用;命令是axgDumpFloorPlan9,保存CELL,并另存一份备份。
该流程如下:1、Load 前端用DC写出的SDC时序约束文件;命令是ataRemoveTC然后ataLoadSDC2、检查该文件是否约束完全,与前端讨论哪些是可以忽略的;命令是astTimingDataCheck3、设置时序面板,此时可以选择比较宽松的约束,如ignore interconnect;命令是atTimingSetup4、report timing,此时应该是有比较大的余量的,这样后面计算实际延时时才可能满足;命令是astReportTiming5、保存CELL,并另存一份备份。
该流程如下:1、如果网表中有扫描链就先抽取扫描链信息然后去除扫描链,等时钟树综合完毕再还原扫描链,这样能避免拥塞;命令是axgScanTrace然后axgScanChainOptim2、设置placement选项,时序驱动时要把timing,以及congestion都选上;命令是astPlaceOptions3、预布局优化,通过优化高扇出点等产生一个种子网表(意思是高扇出点被“打烂”,加进去的buffer象种子一样散落于芯片各处,使得没有过高负载的点);astPrePS4、标准单元放置;astPlaceDesign5、优化标准单元的位置;astPostPS16、保存,备份。
流程如下:1、设置时钟综合选项,选上时序;astClockOptions2、时钟树综合;astCTS3、修改时序面板,ignore propagated clock和ingore clock uncertainty互换选择;atTimingSetup4、把扫描链重新连接上;axgScanChainOptim5、优化标准单元放置;astPostPS6、时钟树优化;astCTO7、报时序,此时应该为正,否则继续优化;astReportTiming8、保存,备份。
流程如下:1、标准单元电源连接;axgPrerouteStandardCells2、设置布线选项;axgSetRouteOptions3、时钟信号布线;axgRouteGroup4、信号线自动布线,规模较小可以直接AutoRoute,也可以分四步做;axgAutoRoute5、有violation就Search&Repair;axgSearchRepair6、布线后时钟树优化;astPostRouteCTO7、布线优化;astPostRT8、DRC,这要花比较多时间,可以先不做,等到后面版图合并完了用专用的工具做;geNewDRC9、保存,备份。
流程如下:1、修天线违规;先Load天线规则,然后axReportAntennaRatio (geGetEditCell) 有violation 那么就axgSearchRepair,还有violation就axgInsertDiode2、加PAD FILLER,组成PAD电源环;axgAddPadFiller3、在Core中填充FILLER CELL;axgAddFillerCell4、在电源环开槽;axgSlotWire5、Fill Notch&Gap;命令是geNewFillNG6、填充金属dummy,选2到3倍间距;axgFillWireTrack7、最后的DRC和LVS,如果有违规就要Search&Repair;geNewDRC和geNewLVS8、输出三种文件;auStreamOut、astDumpHierVerilog、ataDumpSDF9、保存。
Astro 流程注意事项1、目录和文件管理:在主目录下面建立以下几个主要的工作目录1)backend 主要用来存放后端人员编写的TDF文件2)frontend 存放前端的.v以及.sdc文件3)lib 存放reference library ,技术文件,以及需要建立的主库4)scripts 存放参考脚本文件5)report 从Astro倒出的数据放在这里,比如.vg .sdf .spef等文件以及每次时序报告6)work 工作目录,从这里启动Astro。
存放日志、命令历史、时钟树Buffer等文件,这里记录着Astro工作的轨迹。
每次需要重新开始做的时候,可以把这里面自动生成的cmd 以及log等各种文件删除7)star 为StarRCXT抽取时序参数而建立的工作目录,在这里启动StarRCXT2、TDF文件注意!Astro对TDF有特定的识别方式,后端人员在编写TDF文件时必须符合这个格式要求,否则floorplan时可能得不到符合预期的结果,如正方形变长方形。
一般按照order来写,这样比较方便。
Astro中的PAD order如下图所示,假设有208个PAD:特别注意CORNER的order,另外每边都要从1到53(或从53到1),不能随意。
如果不是紧密排放,那么就按照location来写,这样能控制相邻PAD之间距离,也需要注意CORNER的位置(此时是坐标位置,不是顺序位置)。
3、关于时钟信号PAD 摆放:一般放在某边的中间位置,如上图中bottom的第25号PAD,这样时钟树能生长的比较正,有利于clock skew的降低。
关于电源PAD,要均匀分布,这样能使供电充分;另外电源PAD不要放在每边的开始以及结束处,原因是可能造成不能直连到core ring 电源环上,影响布线。
4、关于电源strap放置。
macro单元边上放strap,使该宏模块周围的standard cell 由这些strap供电,而不用跨过macro再连到core ring上,这能省下macro 上层的布线资源给信号线用。
可以通过route setup 里面的 create route guide 把no automatic preroute on layer M1,M2,M3,M4,M5,M6全选上。
5、电源ring和strap布置完毕,preroute电源(macro,,pad,此时不必给标准单元也连接电源)后,要进行verify PGconnectivity!!!确保以后做的事情不是在浪费时间。
6、在做placement时,如果可利用面积比较大,(core的使用率不是特别大),推荐在strap 下面不要放置标准单元;在strap下面不放标准单元的方法:先在place common options里选择no standard cells under preroute of M1-M6;然后再 axgPlaceDesign。
7、时钟树综合结束,开始布线,第一步是连接标准单元的电源和地,之前其他的大步骤中都不需要连接标准单元的电源线和地线(这和synopsys教程不同,这样能节省更多时间)连接结束后同样要进行verify PGconnectivity!8、布局布线完毕,timing report没有问题之后,可以提取hierarchy netlist 和 SDF 以及SPEF 文件给前端人员做静态仿真(formality 以及PrimeTime);DFM结束之后再报timing和做DRC,之后再次提取这些文件做后仿,此时包含了dummy元素的影响,最接近实际。