毕设中期答辩模板

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

选题 当前研Fra Baidu bibliotek的成果
type state_type is(stopon1,dooropen,doorclose,wait1,wait2,
wait3,wait4,up,down,stop); signal state:state_type:=stopon1; signal clearup,cleardn,buttclk,fliclk:std_logic; signal q : std_logic_vector(4 downto 0);
体。VHDL的实体描述了电路器件的外部情况及各信号端口的基本性质。 本设计定义了关于三层电梯控制器用到的各类时钟、异步复位按键、信 号灯指示、电梯的请求。端口模式主要就是IN、BUFFER、OUT端口。 及定义了各端口信号的数据类型,主要是STD_LOGIC(标准逻辑位数据 类型)、INTEGER(整数类型)、STD_LOGIC_VECTOR(标准逻辑矢量数 据类型)。这些都满足上面调用的IEEE库中的程序包。
燕山大学本科 毕业设计
基于FPGA的电梯控制系统设计
学 院(系):里仁学院电子工程系
专 业 班 级:电子科学与技术08-1班

号:081308061023

名:王爽
指 导 老 师:常丹华老师

2012年05月14日
选题
研究进展
论文研究工作经过选题、开题到现在已经完成了以 下面的工作 (1)通过查阅大量资料对选题有了更加深入理解和 更加清晰的思路 (2)对软件MAXPLUS2的熟悉操作 (3)用VHDL语言对电梯系统主控完成编译 (4)完成了对电梯系统主控的仿真
选题 当前研究的成果
选题 当前研究的成果
四层电梯控制器的实体设计 首先考虑输入端口,一个异步复位端口reset,用于
在系统不正常时回到初始状态;在电梯外部,必须 有升降请求端口,一层是最低层,不需要有下降请 求,四层是最高层,不需要有上升请求,二、三层 则上升、下降请求端口都有;在电梯的内部,应该 设有各层停留的请求端口:一个电梯时钟输入端口, 该输入时钟以1秒为周期,用于驱动电梯的升降及 开门关门等动作;另有一个按键时钟输入端口,时 钟频率比电梯时钟高。
选题 当前研究的成果
四层电梯控制器VHDL设计
四层电梯控制器的VHDL描述模块流程图
选题 当前研究的成果
本程序设计调用了IEEE库,IEEE库是VHDL设计中最为常用的库,它包 含有IEEE标准的程序包和其他一些支持工业标准的程序包。
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; (2)以关键词ENTITY引导,END ENTITY flift 结尾的语句部分,成为实
选题 当前研究的成果
其次是输出端口,有升降请求信号以后,就得有一 个输出端口来指示请求是否被响应,有请求信号以 后,该输出端口输出逻辑‘l’。被响应以后则恢复逻 辑‘O’;同样,在电梯内部也应该有这样的输出端 口来显示各层停留是否被响应;在电梯外部,需要 一个端口来指示电梯现在所处的位置;电梯开门关 门的状态也能用一个输出端口来指示;为了观察电 梯的运行是否正确,可以设置一个输出端口来指示
选题 当前研究的成果
entity flift is
port(clk,reset,up1,up2,up3,down2,down3,down4,stop1,stop2,stop3,sto p4: in std_logic;
uplight,downlight,stoplight: buffer std_logic_vector(4 downto 1);
udsig:buffer std_logic;
position:buffer integer range 1 to 4;

doorlight:out std_logic);
end flift;
(3)以关键词ARCHITECTURE引导,END ARCHITECTURE behav结 尾的语句部分,称为结构体。结构体负责描述电路器件的内部逻辑功能 或电路结构。本设计定义了lO个状态。描述了在三层电梯中出现的各种 可能的情况作为控制电梯的主要进程。
选题 当前研究的成果
控制器的设计方案 控制器的功能模块,包括主控制器、分控制器、楼 层选择器、状态显示器、译码器和楼层显示器。乘 客在电梯中选择所要到达的楼层,通过主控制器的 处理,电梯开始运行,状态显示器显示电梯的运行 状态,电梯所在楼层数通过译码器译码从而在楼层 显示器中显示。分控制器把有效的请求传给主控制 器进行处理,同时显示电梯的运行状态和电梯所在 楼层数。由于分控制器相对简单很多,所以主控制 器是核心部分。
电梯的升降状态。
选题 当前研究的成果
四层电梯控制器的结构体设计 首先说明一下状态。状态机设置了lO个状态,分别 是电梯停留在l层(stoponl)、开门(dooropen)、关门 (doorclose)、开门等待第1秒(waitl)、开门等待第2 秒(wait2)、开门等待第3秒(wait3)、开门等待第4秒 (wait4)、上升(up)、下降(down)和停止(stop)。在实 体 说 明 定 义 完 端 口 之 后 , 在 结 构 体 architecture 和 begin之间需要有如下的定义语句,来定义状态机。
选题 当前研究的成果
电梯主控制器模块
选题
主控制器波形图
当前研究的成果
选题
下一步任务
首先对主控功能进行完善,然后对 分控制器及其他部分的功能进行编 译、调试,完成选题的所有功能。
谢谢各位老师 请提出宝贵意见!
放映结束 感谢各位观看!
谢 谢!
让我们共同进步
相关文档
最新文档