出租车计费器设计

合集下载

(VHDL实验报告)出租车计费器的设计

(VHDL实验报告)出租车计费器的设计

电子科技大学成都学院学院标准实验报告(实验)课程名称数字电路EDA设计与应用姓名乱弹的枇杷学号 1240830专业电气工程及其自动化指导教师张一、实验名称出租车计费器的设计二、实验目的1、了解出租车计费器的工作原理。

2、学会用V HDL 语言编写正确的七段码管显示程序。

3、数量掌握用V HDL 编写复杂功能模块。

4、进一步数量状态积在系统设计中的应用。

三、实验原理出租车计费器一般都是按公里计费,通常是起步价xx元(xx元可以行走x公里),然后再是xx元/公里。

所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。

通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。

在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。

结果的显示用8个七段码管,前四个显示里程,后三个显示费用。

在设计VHDL程序时,首先在复位信号的作用下将所有用到的寄存器进行清零,然后开始设定到起步价记录状态,在此状态时,在起步价规定的里程里都一直显示起步价,直到路程超过起步价规定的里程时,系统转移到每公里计费状态,此时每增加一公里,计费器增加相应的费用。

为了便于显示,在编写过程中的数据用BCD码来显示,这样就不存在数据格式转换的问题。

比如表示一个三位数,那么就分别用四位二进制码来表示,当个位数字累加大于9时,将其清零,同时十位数字加1,依此类推。

四、实验内容本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3元,准行1公里,以后1元/公里。

显示部分的七段码管扫描时钟选择时钟模块的1KHz,电机模块的跳线选择GND端,这样通过旋钮电机模块的电位器,即可达到控制电机转速的目的。

另外用按键模块的S1来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。

直流电机用来模拟出租车的车轮子,没转动一圈认为是行走1米,所以每旋转1000 圈,认为车子前进1公里。

出租车计价器系统设计

出租车计价器系统设计

出租车计价器系统设计一、引言二、系统需求1.实时计算乘客的费用,包括起步价、里程费和时间费。

2.支持现金和电子支付两种支付方式。

3.集成GPS导航功能,方便司机找到目的地。

4.提供乘客和司机的行程记录,以便后续查询和管理。

5.具备车辆运营数据统计和分析功能,方便管理者监控业务运营情况。

6.界面友好,操作简单方便。

三、系统设计1.架构设计出租车计价器系统可以采用分布式架构,主要由计价器终端设备、服务器和后台管理系统组成。

计价器终端设备负责实时计算费用,接收用户支付信息并提供导航功能。

服务器将终端设备上的数据上传到后台管理系统,并处理支付信息。

后台管理系统负责行程记录的存储和查询,车辆运营数据统计等功能。

2.功能设计计价器终端设备的主要功能包括:-实时显示乘客的费用,包括起步费、里程费和时间费。

-支持现金和电子支付两种支付方式。

对于现金支付,可以提供找零功能。

-集成GPS导航功能,显示乘客的目的地并为司机提供导航指引。

-提供乘客和司机的行程记录,包括乘车地点、目的地、起步时间、到达时间等信息。

后台管理系统的主要功能包括:-存储和查询行程记录,方便乘客和司机进行查询,也方便管理者进行统计和分析。

-处理支付信息,包括验证支付的有效性和进行支付结果的记录。

-根据行程记录和支付信息生成报表,进行车辆运营数据的统计和分析。

3.数据库设计系统需要至少设计以下几个数据库表:-行程记录表,包含乘车地点、目的地、起步时间、到达时间等信息。

-支付记录表,包含支付方式、支付金额、支付结果等信息。

-车辆表,包含车辆的基本信息,如车牌号、品牌、颜色等。

-用户表,包含乘客的基本信息,如姓名、手机号等。

四、系统流程1.乘客叫车并上车后,司机启动计价器终端设备。

2.计价器显示乘客的起步费和当前费用,并启动计时和记录起步时间。

3.计价器终端设备显示乘客的目的地,并提供导航指引。

4.司机按照导航指引将乘客送到目的地。

5.到达目的地后,计价器停止计时,显示乘客的总费用。

出租车自动计费器的设计原理及优化方案

出租车自动计费器的设计原理及优化方案

出租车自动计费器的设计原理及优化方案引言:出租车自动计费器是现代出租车运营中必不可少的一个装置,它能够准确地计算乘客应付的费用,并提供便利的支付方式。

本文将通过介绍出租车自动计费器的设计原理以及优化方案,帮助读者更好地了解该装置并思考如何提高其功能和效率。

一、出租车自动计费器的设计原理1. 准确测量里程出租车自动计费器通常利用车辆上安装的里程传感器测量车辆行驶的里程,包括实时行驶距离和行驶时间。

2. 考虑计费标准出租车自动计费器会根据城市或地区的计费标准计算乘客的费用。

计费标准通常包括起步价、里程费和时间费等多个维度。

3. 考虑附加费用出租车自动计费器还会考虑一些额外的费用,如过路费、停车费和燃油附加费等。

这些附加费用通常会根据车辆行驶路径和实际发生的情况自动计算。

4. 提供支付方式现代出租车计费器通常支持多种支付方式,如现金支付、刷卡和移动支付等。

根据乘客的选择,计费器能够提供相应的支付方式,并记录支付信息。

二、出租车自动计费器的优化方案1. 提升计费的准确性为了提高计费的准确性,可以在出租车自动计费器中使用更为精准的里程传感器,并结合卫星定位系统(GPS)来实时监测车辆的位置和行驶路径。

这样可以避免计费器在复杂道路环境下的误差,并提供更准确的里程计算。

2. 优化计费标准考虑到不同地区和不同时间段的交通状况和需求,可以针对性地优化出租车计费标准。

例如,可以根据交通拥堵情况来调整时间费的计算标准,或者根据车辆类型和燃油消耗来调整里程费的计算标准,使得计费更加公平和合理。

3. 加强附加费用的计算和记录出租车自动计费器可以与城市交通管理部门的数据库相连接,实时获取过路费和停车费等附加费用的信息,并根据车辆行驶路径和实际发生情况自动计算。

同时,计费器应具备记录附加费用信息的功能,方便车主和乘客核查相关费用。

4. 引入更多支付方式随着电子支付的普及,出租车自动计费器可以引入更多的支付方式,如支付宝、微信等移动支付,并且支持二维码扫描或NFC技术进行支付。

出租车计费器设计

出租车计费器设计

目录摘要 (1)1.设计任务与要求 (2)1.1设计内容 (2)1.2设计要求 (2)2.出租车计费系统的实现 (3)2.1系统的总体框图 (3)2.2 系统各模块的实现 (3)2.2.1 jifei的实现 (3)2.2.2 x的实现 (4)2.2.3 display的实现 (4)3. 系统仿真 (6)3.1 jifei的仿真结果 (6)3.2 x的仿真结果 (6)3.3 display的仿真结果 (7)3.4 chuzu的仿真结果 (7)4. 设计心得 (8)5. 源程序 (9)参考文献 (19)摘要二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了很大的发展。

其实现方法经历了由部分元件到整体元件的过程。

同时,为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASTC)逐渐取代了通用全硬件LSI电路,而ASIC以其体积小,重量轻,功耗低,速度快,成本低,保密性好而脱颖而出。

目前,大量的可编程逻辑器件(PLD),尤其是现场可编程逻辑器件(FPLD)被大量应用在ASIC的制作中。

EDA技术(即Electronic Design Automation技术)就是依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Ddscription Langurage)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

它在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC 测试和封装、FPGA(Gield Peogrammable Gate Array)/CPLD(Complex Programmable Logic Device)编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD),计算机辅助制造(CAM),计算机辅助测试(CAT),计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。

多功能出租车计价器设计

多功能出租车计价器设计

多功能出租车计价器设计背景:出租车计价器是一种用于计算出租车费用的设备,通常会根据里程数、时间和其他一些因素来计算费用。

然而,传统的出租车计价器仅仅只能计算费用,功能单一、在现代社会,人们对于服务的需求越来越多样化,希望能够通过一种便捷的方式同时满足多种需求。

因此,设计一种多功能出租车计价器是非常必要的。

设计目标:设计一种多功能出租车计价器,使其能够计算费用、导航、提供娱乐等功能,提升用户体验,提高出租车服务的效率和质量。

设计要素:1.费用计算功能:保留传统出租车计价器的计费功能,能够根据里程数、时间以及其他因素准确计算费用。

同时,支持不同地区的计费规则,并能够随时更新。

2.导航功能:集成导航系统,能够根据用户的目的地提供路线规划和导航服务。

使用高精度的地图数据,实时监测交通状况,并提供最佳路线选择。

3.娱乐功能:提供丰富多样的娱乐内容,如音乐、电影、游戏等,使乘客在行程中能够享受娱乐。

同时,支持个性化设置,乘客可根据自己的喜好选择自己喜爱的娱乐内容。

4.多语言支持:支持多种语言,方便国际乘客使用,提升用户体验。

5.安全防护:设备具备安全措施,如防撞装置和紧急求助按钮,保障乘客的安全。

6.支付功能:支持多种支付方式,如现金、移动支付等,方便乘客支付费用。

7.数据统计功能:对乘客的行程数据进行统计和分析,帮助出租车公司了解乘客需求,优化服务。

实施方案:1.硬件设计:多功能出租车计价器的硬件部分需要具备高性能的处理器,充足的存储空间,并配备高分辨率的屏幕。

同时,需要集成导航模块、娱乐模块以及各种传感器等。

2.软件开发:应用开发人员需要编写适用于多功能出租车计价器的应用程序。

程序包括费用计算模块、导航模块、娱乐模块、多语言支持模块、支付模块、数据统计模块等。

需要多个团队协作,开发出高质量的应用程序。

3.数据管理:需要建立一套完善的数据管理系统,用于存储和管理乘客的行程数据。

数据需要进行安全加密和备份,以保障数据安全性。

EDA简易出租车计价器设计

EDA简易出租车计价器设计

程后自动归零。
(4) 译码显示模块
Page 4
该模块经过8选1选择器将计费数据(4位BCD码)、
计时数据(2位BCD码)、计程数据(2位BCD码)动态
显示输出。其中计费数据jifei4~jifei1送入显示译码模块进
行译码,最后送至以百元、十元、元、角为单位对应的数
码管上显示,最大显示为999.9元;计时数据送入显示译
码模块进行译码,最后送至以分为单位对应的数码管上显
示,最大显示为59分;计程数据送入显示译码模块进行译
码,最后送至以公里为单位的数码管上显示,最大显示为
99公里。其系统组成框图如图2.1所示。
Page 5
时钟信 分频器 计费


等待信


计时
公里脉




计费/
计程
复位
Page 6
3 出租车计费器的层次化设计方案
(1) 分频模块 分频模块对频率为240Hz的输入脉冲进行分频,产生频率为16Hz、 15Hz、1Hz的3种频率。该模块产生频率信号用于计费,每个1Hz脉 冲为0.1元计费控制,15Hz为1.5元的计费控制,16Hz信号1.6元计费 控制。 (2) 控制模块 计价器控制模块主要完成对计价器状态的控制。
Page 3
(3) 计量模块
计量模块完成计价、计时和计程功能。
计价部分:行程在3公里内,而且等待累计时间小于2分钟,起步费为
10元;3公里外以每公里按1.6元计费,等待累计时间超过2分钟按每分钟1.5
元计费。
计时部分:计算乘客的等待累计时间。计时器的量程为59分钟,满量
程后自动归零。
计程部分:计算乘客所行驶的公里数。计程器的量程为99千米, 满量

基于单片机的出租车计费器的毕业设计

基于单片机的出租车计费器的毕业设计

基于单片机的出租车计费器的毕业设计在现代社会中,出租车已经成为人们出行的重要交通工具之一、为了提高出租车计费的准确性和便捷性,本文将基于单片机来设计一个出租车计费器的毕业设计。

出租车计费器是指能够根据乘客的乘车时间和里程来计算出乘车费用的设备。

传统的出租车计费器通常采用机械式的结构,需要运营人员手动操作计费器的按钮来记录里程和时间,计算费用。

而基于单片机的出租车计费器可以实现更加准确和自动化的计费过程。

首先,本设计将采用单片机来记录乘车时间和里程。

通过设置两个红外传感器,一个用于检测车轮的转动次数从而计算里程,另一个用于检测乘客上车和下车的时间,用以计算乘车时间。

通过单片机的计算和储存功能,可以准确记录并保存乘车时间和里程。

其次,本设计将使用单片机来自动计算乘车费用。

根据不同地区或国家的计费标准,可以通过设置相应的计费算法来计算费用。

计费算法可以根据乘车时间和里程进行综合计算,还可以考虑到夜间加价和过路费等因素。

通过单片机的计算功能,可以更加快速和准确地计算出乘车费用。

同时,本设计还将使用单片机来显示乘车费用和其他相关信息。

通过连接LCD液晶显示屏,可以实时显示乘客的乘车费用,以及其他相关信息,如当前时间、里程数等。

这样可以方便乘客和司机随时查看乘车费用,避免争议和误解。

最后,本设计还将提供数据存储和查询功能。

通过连接存储器,可以将每次乘车的相关信息储存起来,包括乘车时间、里程、费用等。

这样可以方便运营人员进行统计和查询,了解每辆出租车的运营情况,并可以根据数据进行合理的调整和优化。

综上所述,基于单片机的出租车计费器可以大大提高计费的准确性和便捷性。

通过自动化的记录和计算功能,可以准确地计算出乘车费用,并通过LCD显示屏进行实时展示。

同时,还提供数据存储和查询功能,方便管理和优化运营。

这样设计的出租车计费器将有助于提高出租车行业的服务质量和运营效率。

出租车自动计费器的设计与实现

出租车自动计费器的设计与实现

出租车自动计费器的设计与实现自动计费器是现代出租车行业中必不可少的设备,它能够准确计算乘客的乘车时间和里程,并根据预设的费率规则自动计算费用。

本文将介绍出租车自动计费器的设计与实现要点。

一、硬件设计与实现1. MCU(微控制器单元)的选型:选择适当的MCU比如ARM、AVR等,并根据需求确定其性能、接口等要求。

2. 液晶显示屏:选择合适尺寸的液晶显示屏,用于显示计程信息、费用、时间等。

3. 按键与输入接口:设计合理布局的按键接口,用于乘客输入目的地、选择支付方式等信息。

4. 里程传感器:选择合适的里程传感器,用于准确测量车辆行驶的里程数。

5. 车速传感器:选择合适的车速传感器,用于实时监测车辆的速度。

6. GPS模块:选择合适的GPS模块,用于获取车辆位置信息。

7. 蓝牙或其他无线通信模块:选择合适的无线通信模块,用于与其他设备进行数据传输,比如与支付系统对接等。

二、软件设计与实现1. 系统架构设计:按照面向对象的原则进行系统架构设计,将系统分为不同的模块,如计费模块、路线规划模块、支付模块等。

2. 数据结构设计:设计合理的数据结构,用于存储乘客输入的目的地、车辆位置、计费规则等信息。

3. 乘车计费算法设计:根据预设的计费规则,设计相应的计费算法,包括里程费、时间费、额外费用等的计算。

4. 路线规划算法设计:结合GPS模块获取的车辆位置信息,设计路线规划算法,提供乘客最优的行驶路线。

5. 用户界面设计:设计直观、友好的用户界面,方便乘客输入目的地、选择支付方式等操作。

6. 数据传输与支付对接:设计数据传输协议,确保计费信息可安全传输。

同时,对接支付系统,实现自动计费与支付的一体化。

三、功能与实现考虑1. 里程计费:利用里程传感器实时获取车辆行驶里程数,并根据预设的计费规则计算里程费用。

2. 时间计费:根据车辆行驶的总时间,结合预设的时间费率计算时间费用。

3. 额外费用:根据特殊情况,如夜间加价、高峰期加价等,设计额外费用计算的规则。

出租车自动计费器的设计原则与方法

出租车自动计费器的设计原则与方法

出租车自动计费器的设计原则与方法出租车自动计费器是一种用于计算乘客乘坐出租车的费用的设备,设计合理的自动计费器能够提高出租车计费的准确性和公平性,并提升乘客对出租车服务的满意度。

本文将探讨出租车自动计费器的设计原则与方法。

首先,出租车自动计费器的设计原则应包括以下几个方面。

首先,计费器应具有高度准确性和可靠性,确保计费的公正与公平。

其次,计费器应具备简单易懂的界面和操作流程,方便乘客使用,尤其是对于特殊群体,如老年人和外国游客等。

第三,计费器应具备可扩展性和适应性,能够应对未来可能发生的计费规则或政策的变化。

最后,计费器的设计应参考人因工程学原理,如易于操作的按钮、清晰可辨识的显示屏等,以提高用户体验。

其次,设计出租车自动计费器的方法可以包括以下几点。

首先,确定计费规则和费率。

这是设计计费器的基础,需要根据当地政府的规定和市场需求来制定一套合理的计费规则和费率。

其次,选择合适的硬件设备。

计费器需要包括显示屏、计时器、计量器、支付接口等功能模块,这些硬件设备的选择应基于可靠性、耐用性和成本效益等因素进行评估。

第三,设计用户界面和操作流程。

用户界面应简洁明了,界面元素的排布和颜色应与用户习惯和认知相匹配,操作流程应简单易懂,减少用户操作的复杂度和可能出现的错误。

最后,进行系统测试和验证。

在计费器设计完成后,需要进行全面的系统测试,包括功能性测试、用户体验测试和安全性测试等,确保计费器能够正常运行且满足设计要求。

除了以上的设计原则与方法,还有一些值得注意的问题。

首先,计费器应具备安全防护措施。

例如,防止计费器被恶意篡改或操纵,保护用户的隐私信息和支付安全等。

其次,计费器应具备故障诊断和故障恢复功能,能够及时发现并解决设备故障,确保计费器的可靠性和连续性。

最后,计费器的设计应注意节能环保,减少对环境的负面影响。

总的来说,出租车自动计费器的设计应遵循准确性、公平性、易用性和可靠性等原则,并通过制定合理的计费规则、选择合适的硬件设备、设计用户友好的界面和操作流程等方法来实现。

eda出租车计费器设计总结

eda出租车计费器设计总结

eda出租车计费器设计总结EDA出租车计费器设计总结引言在现代都市生活中,出租车已经成为人们出行的重要交通工具之一。

为了保证乘客和司机的权益,出租车计费器的设计显得尤为重要。

本文将对EDA出租车计费器的设计进行总结和说明。

一、计费模式的选择EDA出租车计费器采用了基于距离和时间的复合计费模式。

这种模式能够更准确地反映出乘客乘坐出租车的实际消费情况,同时也能够保证司机的收入。

二、计费规则的制定1. 距离计费规则:EDA出租车计费器根据乘客的行程距离进行计费。

起步价为10元,包含了2公里的距离。

超过2公里后,每增加1公里加收2元。

这样的计费规则既能够保证司机的基本收入,又能够避免乘客因短途行程而支付过高的费用。

2. 时间计费规则:在乘客在行程过程中遇到交通拥堵等情况时,EDA出租车计费器会根据乘客在车上的时间进行计费。

每分钟加收0.5元,以此来弥补司机因交通拥堵而浪费的时间和精力。

三、计费器的显示和操作1. 显示屏:EDA出租车计费器配备了大尺寸的液晶显示屏,能够清晰地显示乘客的行程信息和当前的计费金额。

显示屏还会提示乘客是否需要打印行程发票。

2. 操作按钮:计费器上设有简洁明了的操作按钮,乘客可以根据需要选择打印发票、查询行程历史等功能。

四、人性化设计1. 声音提示:EDA出租车计费器设置了人性化的声音提示功能,例如乘客上车后会有欢迎提示音,乘客下车后会有计费金额的语音播报等,这样能够提升乘客的使用体验。

2. 灵敏度调节:计费器的控制面板上还设置了灵敏度调节按钮,乘客可以根据自己的需求,自行调节按键的灵敏度,以便更好地操作计费器。

五、结算方式EDA出租车计费器支持多种支付方式,包括现金支付、刷卡支付、二维码支付等。

这样的设计能够方便乘客进行结算,提高支付的便捷性。

六、结论通过以上的总结和说明,可以看出EDA出租车计费器的设计考虑了乘客和司机的实际需求,既能够保证司机的收入,又能够提供方便快捷的支付方式给乘客。

出租车计价器设计

出租车计价器设计

出租车计价器设计一、设计目的出租车计价器是指用于出租车计算乘客乘车费用的仪器或装置,其设计目的是为了提供乘客和驾驶员之间的公平交易和计费机制。

计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算和显示费用,以保证乘客支付公正的费用,同时也为驾驶员提供准确的运营数据。

二、设计要求1.准确计算:计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算费用。

计算过程需精确无误,避免出现漏计、重计或错误计算的情况。

2.易操作:计价器应具备简单直观的操作界面,方便驾驶员进行操作和读取信息。

操作流程和按钮设置应符合直觉,减少操作难度和误操作。

3.易读显示:计价器应具备清晰明确的显示界面,能够直观地显示乘车时间、里程数和费用等相关信息。

显示屏应有合适的亮度和对比度,以适应各种光照条件下的读取。

4.稳定性和耐用性:计价器需要具备良好的稳定性和耐用性,能够在长时间运营和多种环境条件下保持正常工作。

抗干扰、耐振动和防水设计都是需要考虑的因素。

5.数据保存和传输:计价器应具备数据保存和传输功能,能够存储和导出乘车数据。

数据传输方式可以考虑使用USB接口或者无线传输等方式。

6.合法合规:计价器应符合相关法律法规的要求,包括计费标准、计费规则、计量准确性等方面的要求。

三、设计内容1.硬件设计:硬件设计主要包括以下组成部分:-显示屏:需使用亮度和对比度较好的显示屏,可采用液晶显示屏,具备一定的抗干扰能力,以确保信息清晰可读。

-按钮:设有各种操作按钮,例如开始乘车、结束乘车、改变计费模式等,按钮应具备防误触设计,以避免误操作。

-里程传感器:使用里程传感器准确测量行驶里程数。

-时间计算器:使用准确的时间计算器计算乘车时间。

-存储器:用于保存乘车数据,可以设置一定的存储容量,以保证数据的完整性。

-硬件防水、抗干扰设计:计价器需要具备一定程度的防水和抗干扰能力,以适应各种环境条件下的使用。

2.软件设计:软件设计主要包括以下内容:-计费规则设置:根据规定的计费标准设置计费规则,包括起步价、里程单价、时间单价、低速补贴等。

出租车计价器设计报告

出租车计价器设计报告

出租车计价器设计报告一、引言二、需求分析1.收费标准:根据国家和地方的规定,出租车费用通常包括起步价、里程费和时间费。

2.里程计算:计价器需要能够准确计算起点和终点之间的距离。

3.时间计算:计价器需要能够准确计算乘坐时间,以确定时间费用。

4.显示界面:计价器需要具备清晰易读的显示界面,能够显示当前的车费、里程和时间。

5.操作简便:计价器需要提供用户友好的操作界面,方便乘客和司机操作。

6.故障自检:计价器需要具备自动故障检测和报警功能,以保证正常工作。

三、设计方案1.硬件设计:(1)显示屏:使用液晶显示屏,具备高清显示和低功耗的特点,以确保清晰易读的显示界面。

(2)里程测量:采用GPS定位技术测量车辆的实时位置,并根据起点和终点的坐标计算里程。

(3)时间计算:计价器内置实时时钟,能够记录乘坐的时间,并根据时间段进行费用计算。

(4)按键:提供简单明了的按键,用于用户的选择和操作。

(5)故障检测:利用传感器检测故障情况,并在检测到故障时发出警报。

2.软件设计:(1)界面设计:设计直观简洁的用户界面,显示当前的车费、里程和时间,并提供用户选择的接口。

(2)公式计算:根据收费标准,设计相应的公式进行费用计算。

(3)自动故障检测:通过编程实现自动故障检测,并在检测到故障时,及时报警或提醒用户。

(4)数据存储:将计价器的数据存储在内部存储器中,便于后续的数据分析和管理。

四、实施方案1.硬件实施:(1)购买和组装计价器所需的硬件设备,如液晶显示屏、GPS定位模块、实时时钟等。

(2)开发或选择适合的电路板,将相关硬件设备连接起来,并进行测试和调试。

(3)将电路板和其他硬件设备安装在出租车中,确保稳定工作。

2.软件实施:(1)根据设计方案,开发计价器的软件程序,包括界面设计、公式计算、自动故障检测和数据存储等功能。

(2)将软件程序烧录到计价器的控制芯片中,并进行测试和调试。

(3)部署计价器的软件程序到所有出租车计价器上,以确保统一的功能和用户体验。

课程设计-出租车计费器

课程设计-出租车计费器

出租车计费器一、设计任务出租车自动计费器是根据客户用车的实际情况而自动计算、显示车费的数字表。

数字表根据用车起步价、行车里程计费及等候时间计费三项显示客户用车总费用,打印单据,还可设置起步、停车的音乐提示或语言提示。

1.自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,最大金额为99.99元。

2.行车里程单价设为1.20元/km,等候时间计费设为1.5元/10分钟,起步费设为7.00元。

要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到1km或等候不足10分钟则忽略计费。

3.在启动和停车时给出声音提示。

二、设计方案采用计数器电路为主实现自动计费。

分别将行车里程、等候时间都按相同的比价转换成脉冲信号,然后对这些脉冲进行计数,而起价可以通过预置送入计数器作为初值。

行车里程计数电路每行车1km输出一个脉冲信号,启动行车单价计数器输出与单价对应的脉冲数,例如单价是1.20元/km,则设计一个一百二十进制计数器,每公里输出120个脉冲到总费计数器,即每个脉冲为0.01元。

等候时间计数器将来自时钟电路的秒脉冲作六百进制计数,得到10分钟信号,用10分钟信号控制一个一百五十进制计数器(等候10分钟单价计数器)向总费计数器输入150个脉冲。

这样,总费计数器根据起步价所置的初值,加上里程脉冲、等候时间脉冲即可得到总的用车费用。

三、各单元电路设计1. 里程计费电路设计里程计费电路里程计费电路;安装在与汽车轮相接的涡轮变速器上的磁铁使干簧继电器在汽车每前进10m 闭合一次,即输出一个脉冲信号。

汽车每前进1km则输出100个脉冲。

此时,计费器应累加1km的计费单价,本电路设为1.20元。

在图3中,干簧继电器产生的脉冲信号经施密特触发器整形得到CP0。

CP0送入由两片74HC161构成的一百进制计数器,当计数器计满100个脉冲时,一方面使计数器清0,另一方面将基本RS触发器的Q1置为1,使74HC161(3)和(4)组成的一百八十进制计数器开始对标准脉冲CP1计数,计满180个脉冲后,使计数器清0。

出租车计时器设计

出租车计时器设计

出租车自动计费器设计一.设计要求1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。

99元;2、行车里程单价1元/公里,等候时间单价0。

5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。

例如单价是1。

0元/公里,则脉冲当量为0。

01元/脉冲。

4、用LED显示行驶公里数,两个数码管显示收费金额。

二.原理描述利用层次化设计理论,将设计问题自顶向下可分为分频模块,控制模块,计量模块和显示模块。

系统框图如下图1:图12.1分频模块分频模块对频率为240Hz的输入脉冲进行分频,得到15Hz,16Hz,1Hz的3种频率,该模块产生频率信号用于计费。

2.2计量控制模块计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能,计价功能和计程功能。

计时功能的主要任务是计算乘客的等待累计时间,计时器的量程为59分,满量程自动清零。

计程功能的主要任务是计算乘客所行使的公里数,计程器的量程为99公里,满量程自动归零。

三.实验程序3.1出租车计价器VHDL程序通过VHDL语言的顺序语句根据一个或者一组条件选择某一特定的执行通道,生成计费数据,计时数据和里程数据。

1. 出租车计价器VHDL程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min1,min0: out std_logic_vector(3 downto 0)); --等待时间end taxi;architecture behav of taxi issignal f_15,f_16,f_1:std_logic; --频率为15Hz,16Hz,1Hz的信号signal q_15:integer range 0 to 15; --分频器signal q_16:integer range 0 to 14; --分频器signal q_1:integer range 0 to 239; --分频器signal w:integer range 0 to 59; --秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --制费用计数器signal k1,k0:std_logic_vector(3 downto 0); --公里计数器signal m1:std_logic_vector(2 downto 0); --分的十位计数器signal m0:std_logic_vector(3 downto 0); --分的个位计数器signal en1,en0,f:std_logic; --使能信号beginfeipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseif q_15=15 then q_15<=0;f_15<='1'; --此语句得到频率为15Hz的信号else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_15; --此语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end if;end process;main:process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsif stop='1' thenif w=59 then w<=0; --此语句完成等待计时if m0="1001" then m0<="0000"; --此语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1'; --此语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此语句得到en0使能信号else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出end if;end process main;jifei:process(f,start)beginif start='0' then c3<="0000";c2<="0011";c1<="0000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process jifei;end behav;该程序包含3个进程模块。

出租车计费器的设计

出租车计费器的设计

出租车计费器的设计一、硬件设计:1.计费器的结构设计:计费器应该具有合理的结构设计,方便在出租车内部进行固定安装,同时具备防震、防水等特性,以应对复杂的车内环境。

计费器还应具备易于维修和维护的特点,方便后期管理和更新。

2.显示屏设计:计费器的显示屏需要能够显示出租车费用、里程、时间和其他相关信息。

显示屏应采用高对比度的技术,以确保在各种光照条件下都能清晰可见。

同时,显示屏还应具备适合司机观看的尺寸和角度,方便司机在行驶过程中获取所需信息。

3.按键设计:计费器的按键应具有合理的布局和大小,方便司机操作。

按键的触感应该舒适,防止因按键设计不佳导致司机误操作。

计费器还可以设置额外的功能按键,如选择计费模式、调节亮度等,以提高司机的使用体验。

4.通讯模块设计:计费器需要与出租车的其他系统进行通信,如车载定位系统、计价仪等。

通讯模块应具备稳定的通信能力,支持不同通信协议,并且能够快速响应和处理来自其他系统的信息。

通讯模块还应具备保密性和安全性,防止信息泄露和非法侵入。

二、软件设计:1.计费算法设计:计费算法是出租车计费器最核心的功能之一、计费器应能够根据里程、时间和其他因素进行准确的计费。

计费算法需要考虑不同的计费模式、起步价、里程费、等候费等因素,并且具备合理的计费规则,以确保计费的公平和准确性。

2.数据处理逻辑设计:计费器需要对输入的数据进行处理和分析,以提供司机所需的信息。

数据处理逻辑应能够实时处理输入的里程、时间和其他相关数据,并更新计费结果和显示屏的内容。

同时,数据处理逻辑还应具备容错性,确保在异常情况下也能正常运行。

3.用户界面设计:计费器的用户界面应具备友好和易用的特点。

用户界面设计应考虑司机的使用习惯和特点,以简化操作步骤和提高操作效率。

计费器的用户界面还可以根据不同的需求设置不同的显示模式,如夜间模式、高亮度模式等,以满足司机的个性化需求。

总结:出租车计费器的设计需要考虑硬件和软件两个方面,合理的硬件设计可以提高计费器的可靠性和稳定性;而科学的软件设计可以保证计费器的准确性和易用性。

出租车计费器课程设计

出租车计费器课程设计

出租车计费器课程设计引言出租车计费器是出租车行业中非常重要的设备之一,它可以根据乘客的行程信息和里程数自动计算车费,提供准确且公正的价格。

为了确保出租车计费器的正确使用,需要设计一个相应的课程来教导司机正确操作和了解计费器的原理。

基本原理介绍出租车计费器的基本原理是根据行程里程和时间来计算车费。

我们在课程设计中需要首先向学员介绍计费器的基本原理,包括计费器的工作流程和计费算法。

学员需要了解如何启动计费器、输入行程信息、选择计费模式等基本操作。

计费器操作指南在这一部分,我们将详细介绍如何正确操作出租车计费器。

具体内容包括如下几个方面:启动计费器•打开计费器电源•根据屏幕提示输入车辆牌照号码•输入行程开始时间输入行程信息•选择计费模式:一般计费、夜间计费等•输入起始地和目的地的距离计费器功能•显示计费器当前状态和车费金额•提供打印车费明细的功能•支持多种计费模式的切换计费器故障处理•计费器出现故障时的应急处理方法•常见故障问题的解决办法•如何维护和保养计费器出租车计费器的标准和要求在这一部分,我们将介绍出租车计费器在使用过程中需要遵守的标准和要求。

学员需要了解相关的行业和政府规定,以保证计费的公正和规范。

计费准确性要求•计费器应按照规定的计费标准进行计费•计费器显示的车费金额应准确无误计费器合规性要求•计费器需要符合行业标准和政府规定•计费器应通过相关机构的检测和认证计费器维护和检修要求•定期对计费器进行维护和检修,确保其正常工作•计费器的故障应及时报修和处理实际操作演练为了帮助学员更好地掌握出租车计费器的操作和使用,我们将组织实际操作演练。

学员将亲自操作计费器进行计费和打印车费明细。

通过实际操作,学员将更好地理解计费器的各个功能和操作步骤。

课程总结在这一部分,我们将对整个课程进行总结和回顾。

学员将被要求回答一些相关问题,以检验他们对出租车计费器的理解和掌握程度。

同时,我们也可以提供一些额外的资料和资源,供学员进一步学习和提高。

出租车计程计价器的设计

出租车计程计价器的设计

出租车计程计价器的设计在硬件设计方面,计程计价器需要具备以下功能:1.车辆定位系统:通过全球卫星定位系统(GPS)来准确获取车辆的位置信息,以便计算行驶里程。

2.计时系统:通过内置时钟来记录乘客使用的出租车的时间,以便计算时间费用。

3.车辆速度检测系统:通过车速传感器来测量车辆的速度,从而在行驶过程中进行动态计量。

4.车辆载客检测系统:通过车载传感器来检测车辆上的乘客数量,以便计算附加费用。

5.显示屏和按钮:设计良好的用户界面,其中包含显示屏和按钮,方便乘客查看费用和指导司机进行操作。

在软件设计方面,需要实现以下功能:1.行驶费用计算:根据行驶里程和车速来计算行驶费用,可以根据不同的区域和时间段设置不同的费用标准。

2.时间费用计算:根据乘客使用的出租车的时间来计算时间费用,可以根据不同的时间段设置不同的费用标准。

3.附加费用计算:根据乘客数量来计算附加费用,可以设置一定的基准人数和超过基准人数的费用。

4.数据存储与传输:将所有行驶记录和费用信息存储在内部存储器中,方便之后的数据分析和报告生成。

同时,可以通过无线网络传输数据,以便数据监控和管理。

在用户界面设计方面,需要考虑以下因素:1.显示屏设计:显示屏需要足够大,能够清晰显示当前的行驶里程、时间、费用等信息,并能够进行动态更新。

2.按钮和控制面板设计:设计易于操作的按钮和控制面板,方便司机进行计价器的操作和调整。

3.报表和票据打印:设计支持报表和票据打印的功能,方便司机和乘客获取相应的费用明细信息。

此外1.系统准确性:计程计价器需要具备高精度的测量系统,确保行驶里程、时间和费用的准确性。

2.安全性和防作弊功能:设计相应的安全性功能,防止司机和乘客对计价器进行破坏或作弊操作。

3.界面友好度:设计简洁明了的用户界面,方便乘客和司机操作和了解费用信息。

4.扩展性和升级性:计程计价器需要具备良好的扩展性和升级性,可以支持后续的功能扩展和系统升级。

总之,出租车计程计价器的设计需要从硬件、软件和用户界面等多个方面进行综合考虑。

出租车计费器课程设计报告

出租车计费器课程设计报告

目录一、设计任务与要求 (3)二、总框体图 (3)三、选择器件 (5)四、功能模块 (6)1、模块se (6)2、模块di (7)3、模块jifei (8)4、模块zh (11)5、模块xxx1 (15)6、模块div_clk (17)7、模块docode3_8 (18)五、总体设计电路图 (19)1、总体电路原理图 (19)2、管脚分配图 (20)3、电路仿真结果 (21)4、硬件验证 (21)六、设计心得 (23)出租车计费器一、设计任务与要求1. 能实现计费功能,计费标准为:按行驶里程收费,起步费为7.00元,并在3km后按2.20元/km计费,当计费器计费达到或超过20元时,每公里加收50%的车费,车停止不计费。

2、现场模拟功能:能模拟汽车启动、停止及暂停以及加速状态。

3、设计动态扫描电路:将车费和里程显示出来,各有两位小数。

二、总体框图1、设计思路: (1) 根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA,counterB,counterC,counterD,counterE。

①计数器A完成车费百位。

②计数器B完成车费十位和个位。

③计数器C完成车费角和分。

④计数器D完成计数到30(完成车费的起步价)。

⑤计数器E完成模拟实现车行驶100 m的功能。

(2)行驶过程中车费附加50%的功能:由比较器实现。

(3)车费的显示:由动态扫描电路来完成。

用专用模块来实现,完成数据的输入即动2、设计方案比较:方案一:采用数字电路控制。

其原理方框图如图1.21.2所示。

采用传感器件,输出脉冲信号,经过放大整形作为移位寄存器的脉冲,实现计价,但是考虑到这种电路过于简单,性能不够稳定,而且不能调节单价,也不能根据天气调节计费标准,电路不够实用,所以我们不予采用。

图1.2方案二:采用单片机控制。

利用单片机丰富的IO端口,及其控制的灵活性,实现基本的里程计价功能和价格调节、时钟显示功能。

出租车自动计费器设计1

出租车自动计费器设计1

电子课程设计——出租车自动计费器§1. 出租车计费器的设计任务与要求§2. 出租车计费器系统框图与功能简介§3. 器件选择与功能简介§4. 设计内容§5. 总体设计电路图§6. 心得§7. 参考文献出租车自动计费器一、设计任务与要求1>自动计费器具有行车里程计费,等候时间计费,起步费三部分,三项计费统一用4位数码管显示,最大金额是99.99元。

2>行车里程单价设为1.5元/km,等候时间计费设为1元/10分钟,起步费设为8元;要求行车时,计费之每公里刷新一次;等候时,每十分钟刷新一次,行车不到1km或等候时间不足十分钟,忽略计费。

3>在启动和行车时给出声音提示。

二、系统框图与功能简介三、器件选择与功能简介74ls190和74ls19174ls190外接引线排列 74ls191外接引线排列74ls190时序图 74ls191时序图U174LS190NA 15B1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190内部逻辑图U174LS191NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls191内部逻辑图74LS190是可预置数同步可逆加减十进制计数器,符号如图所示,它具有异步置数端LOAD,加减控制端D/U和计数控制端CTEN,为方便级联,设置了两个级联输出端RCO和MAX/MIN.;当加减控制端等于1时减计数,否则为加计数;当异步置数端等于0时置数,当计数控制段为1时禁止计数,为0时,四个触发器将在时钟上升沿开始计数;当计数器加计数,计数值为9或减计数,计数值为0时,MAX/MIN端输出与时钟周期相同的正脉冲,而RCO产生一个宽度为时钟低电平宽度的低电平,74LS191为四位二进制即十六进制同步加法计数器,其功能表与74LS190相同,如图所示555定时器555 芯片是定时器,,是一种将数字功能和模拟功能集为一体的中规模集成电路。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

出租车计费器设计班级:08050141学号:21姓名:***中北大学课程设计说明书学生姓名:高鹏波学号:**********学院:信息与通信工程学院专业:电子信息科学与技术题目:出租车计费器设计指导教师:程耀瑜职称: 教授指导教师:李文强职称: 讲师2011 年 1 月 7 日中北大学课程设计任务书2010/2011学年第一学期学院:信息与通信工程学院专业:电子信息科学与技术学生姓名:高鹏波学号:0805014121 课程设计题目:出租车数字计费器设计起迄日期:12月26日~1月7日课程设计地点:中北大学指导教师:程耀瑜,李文强系主任:程耀瑜下达任务书日期: 2010 年 12 月 26 日课程设计任务书课程设计任务书目录一摘要 (1)二设计任务 (2)2.1设计背景目的与意义 (2)2.2初始参数及要求 (3)三系统设计 (4)3.1系统工作原理 (4)3.2器件选择 (5)3.3电路设计 (7)3.4电路仿真测试 (9)四总结 (11)4.1结论 (11)4.2优点与不足 (12)4.3心得与体会 (12)五参考文献 (13)一摘要在我们的生活中出租车是重要的交通工具之一,因此出租车收费问题值得我们关注。

本文介绍的出租车计费系统主要是在Multisim环境下运用计数器、数值比较器、LED数字显示管以及各种逻辑门等芯片进行开发设计的。

本文介绍的出租车计费器系统由路程采集电路、路程比较电路、预置起步价电路、路费显示电路组成。

简述了运用电子技术设计数字系统的设计思想和实现过程。

关键词:multisim 计数器出租车计费器二设计任务2.1设计背景、目的和意义2.1.1背景随着出租车行业的发展,对出租车计费器的要求也越来越高。

二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,设计方法经历了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI的过程。

同时为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASIC)逐渐取代了通用全硬件LSI电路,而ASIC以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。

目前,业界大量可编程逻辑器件(PLD),尤其是现场可编程逻辑器件(FPLD)被大量地应用在ASIC的制作当中。

在可编程集成电路的开发过程中,以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果的电子设计自动化(EDA)技术主要能辅助进行三方面的设计工作:IC设计,电子电路设计以及PCB设计。

理想的可编程逻辑开发系统能符合大量的设计要求:它能够支持不同结构的器件,在多种平台运行,提供易于使用的界面,并且有广泛的特征。

此外,一个设计系统应该能给设计师提供充分自由的设计输入方法和设计工具选择。

现在各大中城市出租车行业都已普及自动计费器,所以计费器技术的发展已成定局。

而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计费器的普及也是毫无疑问的,所以未来汽车计费器的市场还是十分有潜力的。

2.1.2目的1. 能构实现计费功能2. 计费标准为:按行驶里程收费,起步价3公里以内为8.0元,并在车行3公里后再按4.0 元/公里计费,最高计费为99.9元,车停止不计费,能将车费显示出来。

2.1.3意义在我们是生活中,乘坐出租车是再常见不过的了,因此人们对出租车计费问题也是非常的敏感的。

随着出租车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印、IC卡付费、语音报话、和电脑串行通信等功能。

因此出租车计费器在我们的生活中也是很重要的。

2.2初始参数和要求2.2.1 74LS160计数器的简介74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器,共有54/74160 和54/74LS160 两种线路结构型式。

2.2.2 74LS85比较器的简介74LS85比较器是一个4位数值比较器,它是由高位开始比较,逐位进行。

若最高位已比较出大小,则以后各位大小都对比较结果没有影响;如果最高位相等,则比较次高位;同理,次高位已比较出大小,则以后各位大小对结果没有影响。

如果4位比较都相等,则再看级联信号输入。

级联输入信号是由低位比较器的输出而来。

2.2.3 初始要求电路能够实现初始设定,起步价3公里以内为8.0元,并在车行3公里后再按4.0 元/公里计算,车停止不计费,能将路程及车费显示出来。

2.2.4 所用软件工具本次设计主要使用了Multisim进行电路的设计与仿真。

Multisim是加拿大图像交互技术公司(Interactive Image Technoligics 简称IIT公司)推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。

Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。

通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

三系统设计3.1系统工作原理本设计方案系统的总体工作原理如图1所示。

按行驶里程收费,起步价3公里以内为8.0元,并在车行3公里后再按4.0 元/公里,最高计费为99.9元,车停止不计费,将路程及车费显示出来。

路程的计算来自于车轮给予的脉冲,车启动后计费器开始工作。

本设计方图1 出租车计费器框图案为设定出租车每行驶5米车轮给路程计数器一个脉冲,同时把路程与预置的3公里进行比较,当路程小于等于3公里时,车费显示为8元。

当路程大于3公里且接收到25米脉冲时,计费器加一毛钱,当加够一块钱时,单位为角的计数器进位到预置为8元的单位为元的计数器。

当单位为元的计数器够十元时进位使十元的计数器开始计数,并把计数器的数据输出端接到数字显示器的数据输入端。

本设计方案,显示的最高车费为99.9元。

本设计方案的系统主要电路图如图2所示。

脉冲发生器为由车轮传来的脉冲。

且此图只显示车费。

图2 系统电路图3.2 器件选择3.2.1 74LS160计数器的功能图3 74LS160芯片图74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器,共有54/74160 和54/74LS160 两种线路结构型式。

74LS160异步清零端CR为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。

74LS160的预置是同步的。

当置入控制器LD为低电平时,在CP上升沿作用下,输出端Q0-Q3预置为数据输入端P0-P3的数据。

74LS160的计数是同步的,靠CP同时加在四个触发器上而实现的。

当EP、ET均为高电平时,在CP上升沿作用下Q0-Q3同时变化,从而消除了异步计数器中出现的计数尖峰。

对于54/74LS160的EP、ET跳变与CP无关。

74LS160有超前进位功能。

当计数溢出时,进位输出端CO输出一个高电平脉冲,其宽度为Q0的高电平部分。

在不外加门电路的情况下,可级联成N位同步计数器。

对于54/74LS160,在CP出现前,即使EP、ET发生变化,电路的功能也不受影响。

选择74LS160主要用于接受脉冲,计算路程,及用其进位输出使控制车费的计数器工作进行计费。

3.2.2 74LS85比较器的功能74LS85比较器其功能表如图4所示。

图4 74LS85功能表74LS85比较器是一个4位数值比较器,它是由高位开始比较,逐位进行。

若最高位已比较出大小,则以后各位大小都对比较结果没有影响;如果最高位相等,则比较次高位;同理,次高位已比较出大小,则以后各位大小对结果没有影响。

如果4位比较都相等,则再看级联信号输入。

级联输入信号是由低位比较器的输出而来3.2.3 设计所需的器件归纳表1 计费器所需器件3.3电路设计按照设计原理图来一步步分模块进行设计,然后综合。

3.3.1 路程的计数行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲个数,然后通过计费电路转换成收费金额。

出租车中需要一个能准确获得车轮转动即路程计量信号的装置,以得到标准的脉冲信号送入计费器。

汽车联轴器按圆周间隔嵌入磁钢,用霍尔传感器集成芯片A44E检测并输出脉冲,其工作原理如图5所示,霍尔传感器集成芯片A44E有信号转换、电压放大、整形输出等功能。

而在此电路中为了防止司机作弊,可采用加密传感器的方法,先对霍尔传感器采集到的计数脉冲加密,使计费脉冲以密文方式传输,最后解密为明文脉冲,传送到计价器计费。

在密码传感器中,加密器向解码器发送的是密码,只有加密器和解密器固有密码相同时,解码器才向计价器发送计数脉冲,计价器才计费,因此,新型元器件密码传感器提高了计价器计费可靠性,不法出租车司机也无法使车费增加,同时司机也不能私自更换计价器传感器,实现了计价器由国家计量部门统一安装、维修和年检的统一管理。

图5 路程采集原理设信号发生器为车轮每五米所传来的信号,则即可用74LS160对其进行计数。

用74LS160分别构成五进制、四进制、十进制计数器,采用级联法构成200进制计数器。

200进制计数器每输出一个进位脉冲出租车行驶一公里,并把这个脉冲输入另一个计数器,即实现里程计数功能。

3.3.2 路程与预设值的比较设函数发生器为车轮直接传来的脉冲信号。

预设比较器一端为3,与传来的信号相比较,当小于或等于3公里时,比较器输出为0,即此段时间车费显示为8元。

当传来脉冲信号大于3公里且上面的计数器计数到25米后,通过与门输出高电平,使下一个计数器开始计数。

3.3.3 计数器的预置使单位为元的计数器预置端开始为低电平,3公里以后为高电平。

而在本设计方案中,用上一级传来的进位,用计数器接受,把其与预置的8相加,这样就可以使输出数不会突变,而是在8的基础上循环。

经过前面的设计后,可以说各部分的功能也已基本实现,接着的应把各部分的电路整合起来,整合后的系统电路图如图2所示。

3.4 电路仿真测试3.4.1 系统整体电路运行及车费的显示图6 Protel原理图。

图7 显示起步价电路上图所示状态为出租车行驶里程在3公里以内时显示的金额,即起步价8元。

当行驶里程超过3公里以后,每行驶25米计费器加一角钱。

图8 出租车计费器3.4.2 仿真结论经过路程计数与车费计费的显示表明,该设计基本符合设计要求,实现了计费器的计费功能。

按行驶里程收费,起步价3公里以内为8.0元,并在车行3公里后再按4.0 元/公里计费,最高计费为99.9元,车停止不计费,能将车费显示出来。

相关文档
最新文档