数字逻辑课后答案 第六章

合集下载

数字电路答案第六章

数字电路答案第六章

第六章可编程逻辑器件PLD可编程逻辑器件PLD是由用户借助计算机和编程设备对集成电路进行编程,使之具有预定的逻辑功能,成为用户设计的ASIC芯片。

近年来,可编程逻辑器件从芯片密度上、速度上发展相当迅速,已成为集成电路的一个重要分支。

本章要求读者了解PLD器件的工作原理,掌握用可编程逻辑器件设计数字电路的方法。

为掌握使用电子设计自动化和可编程逻辑器件设计电路系统的后续课程打下良好的基础。

第一节基本知识、重点与难点一、基本知识(一)可编程逻辑器件PLD基本结构可编程逻辑器件PLD包括只读存储器ROM、可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL和可擦写编程逻辑器件EPLD等。

它们的组成和工作原理基本相似,其基本结构由与阵列和或阵列构成。

与阵列用来产生有关与项,或阵列把所有与项构成“与或”形式的逻辑函数。

在数字电路中,任何组合逻辑函数均可表示为与或表达式,因而用“与门-或门”两级电路可实现任何组合电路,又因为任何时序电路是由组合电路加上存储元件(触发器)构成的,因而PLD的“与或”结构对实现数字电路具有普遍意义。

(二)可编程逻辑器件分类1.按编程部位分类PLD有着大致相同的基本结构,根据与阵列和或阵列是否可编程,分为三种基本类型:(1)与阵列固定,或阵列可编程;(2)与或阵列均可编程;(3)与阵列可编程,或阵列固定。

2.按编程方式分类(1)掩膜编程;(2)熔丝与反熔丝编程;(3)紫外线擦除、电可编程;(4)电擦除、电可编程;(5)在系统编程(Isp)。

(三)高密度可编程逻辑器件HDPLD单片高密度可编程逻辑器件HDPLD(High Density Programmable Logic Device)芯片内,可以集成成千上万个等效逻辑门,因此在单片高密度可编程逻辑器件内集成数字电路系统成为可能。

HDPLD器件在结构上仍延续GAL的结构原理,因而还是电擦写、电编程的EPLD 器件。

电子科技大学数字逻辑第六章习题答案

电子科技大学数字逻辑第六章习题答案
00
01 11 10 1 d 0 d d d d d
d
d
d
1
d
d
d
d
K1 Q0C 00 01 11 10 Q 2 Q1
00 01 d 0 0 d d 0 d 1 0 d 0 1
11
14
10
d
d
d
d
J1 Q0C 00 01 11 10 Q 2 Q1
00
J1 Q2 C
1 d
0 d
d d
d d
01 11 10
X
Q2n
Q1n
Q2n+1 Q1n+1
Z
0 0 0 0 1 1 1 1
0 0 1 1 0 0 1 1
0 1 0 1 0 1 0 1
0 1 1 0 1 0 0 1
1 0 1 0 1 0 1 0
0 0 0 1 1 0 0 0
(3) 状态转换图
X/Z 0/0 1/0
00 0/1 1/1 11
01
1/0 1/0
J 3 X Q1Q2、K 3 1 J 2 Q3 ( X Q1 )、K 2 X Q1 J1 X Q3、K1 Q2 Z X Q3
电路图省略
20、试用JK触发器设计一个六进制减法计数器。
000
/1
/0
001
/0
010 /0
101
/0
100
/0
011
Q3n 0 0 0 0 1 1 1 1
J 2 Q1 Q0 C
01 11 10
d
d
d
d
K2 Q0C 00 01 11 10 Q 2 Q1
00 d d d d

数字逻辑课后习题答案(科学出版社_第五版)

数字逻辑课后习题答案(科学出版社_第五版)

第一章开关理论基础1.将下列十进制数化为二进制数和八进制数十进制二进制八进制49 110001 6153 110101 65127 1111111 177635 1001111011 11737.493 111.1111 7.7479.43 10011001.0110111 231.3342.将下列二进制数转换成十进制数和八进制数二进制十进制八进制1010 10 12111101 61 751011100 92 1340.10011 0.59375 0.46101111 47 5701101 13 153.将下列十进制数转换成8421BCD码1997=0001 1001 1001 011165.312=0110 0101.0011 0001 00103.1416=0011.0001 0100 0001 01100.9475=0.1001 0100 0111 01014.列出真值表,写出X的真值表达式A B C X0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1 X=A BC+A B C+AB C+ABC5.求下列函数的值当A,B,C为0,1,0时:A B+BC=1(A+B+C)(A+B+C)=1(A B+A C)B=1当A,B,C为1,1,0时:A B+BC=0(A+B+C)(A+B+C)=1(A B+A C)B=1当A,B,C为1,0,1时:A B+BC=0(A+B+C)(A+B+C)=1(A B+A C)B=06.用真值表证明下列恒等式(1) (A⊕B)⊕C=A⊕(B⊕C)A B C (A⊕B)⊕C A⊕(B⊕C)0 0 0 0 00 0 1 1 10 1 0 1 10 1 1 0 01 0 0 1 11 0 1 0 01 1 0 0 01 1 1 1 1所以由真值表得证。

(2)A⊕B⊕C=A⊕B⊕CA B C A⊕B⊕C A⊕B⊕C0 0 0 1 10 0 1 0 00 1 0 0 00 1 1 1 11 0 0 0 01 0 1 1 11 1 0 1 11 1 1 0 07.证明下列等式(1)A+A B=A+B证明:左边= A+A B=A(B+B)+A B=AB+A B+A B=AB+A B+AB+A B=A+B=右边(2)ABC+A B C+AB C=AB+AC证明:左边= ABC+A B C+AB C= ABC+A B C+AB C+ABC=AC(B+B)+AB(C+C)=AB+AC=右边(3)EDCCDACBAA)(++++=A+CD+E 证明:左边=EDCCDACBAA)(++++=A+CD+A B C+CD E=A+CD+CD E=A+CD+E=右边(4) C B A C B A B A ++=C B C A B A ++ 证明:左边=C B A C B A B A ++=C B A C AB C B A B A +++)( =C B C A B A ++=右边8.用布尔代数化简下列各逻辑函数表达式9.将下列函数展开为最小项表达式 (1) F(A,B,C) = Σ(1,4,5,6,7)(2) F(A,B,C,D) = Σ(4,5,6,7,9,12,14) 10.用卡诺图化简下列各式(1)C AB C B BC A AC F +++=化简得F=C(2)C B A D A B A D C AB CD B A F++++=F=D A B A +(3) F(A,B,C,D)=∑m (0,1,2,5,6,7,8,9,13,14)化简得F=D BC D C A BC A C B D C ++++(4) F(A,B,C,D)=∑m (0,13,14,15)+∑ϕ(1,2,3,9,10,11)化简得F=AC AD B A ++11.利用与非门实现下列函数,并画出逻辑图。

数字逻辑设计习题参考答案(第6章)

数字逻辑设计习题参考答案(第6章)

第6章时序逻辑电路6—1 从概念,结构和功能描述等几个方面简述时序逻辑电路和组合逻辑电路的不同。

概念:组合逻辑电路在任一时刻的输出仅由该时刻的输入决定,而与过去的状态无关,电路无记忆功能。

时序逻辑电路任一时刻的输出信号不仅取决于该时刻的输入信号,而且还取决于电路原来的状态。

结构:组合电路都是单纯由逻辑门组成,且输出不存在反馈路径。

时序逻辑电路由组合逻辑电路和存储电路组成,输出和输入之间有反馈。

存储电路一般由触发器构成。

功能描述:组合逻辑电路一般用逻辑图、逻辑表达式和真值表描述。

时序逻辑一般用逻辑图、逻辑方程式(状态方程、输出方程、驱动方程)、状态转换表、状态转换图和时序图来描述。

6—2 作出与表1所示状态表对应的状态图。

6—3 用边沿触发D触发器和与非门设计一个三位右移寄存器,用一控制端X控制,当0=X时能串行输入新数据ID,当1=X时具有自循环功能。

A BD C2111/10X/010/011/010/001/101/010/011/000/001/1QQSETCLRDQQSETCLRDQQSETCLRDXD ID OCP6—4 完成下列设计:1. 画出用J-K 触发器实现的四位右移寄存器的逻辑图(数据向高位移位定义为右移,寄存器的输出1Q 2Q 3Q 4Q ,设4Q 为高位。

2. 用上述四位右移寄存器实现下列计数器,写出设计步骤,画出逻辑图。

CP 1Q 2Q 3Q 4Q0 0 0 0 0 11 0 0 02 0 1 0 0 31 0 1 0 4 0 1 0 1 5 0 0 1 0 6 0 0 0 1 70 0 0 0答:由题意知,计数器是由右移寄存器实现的,所以只要确定第一个JK 触发器的驱动方程即可。

根据给出的状态转换表,可得卡诺图。

化简得43Q Q Q Q Q Q D ++=⋅⋅=6—5 用一片74LS161和必要的门电路构成一个可控计数器。

当控制端C=1时,实现八进制计数;C=0时,实现四进制数。

大学_数字逻辑第四版(欧阳星明著)课后习题答案下载

大学_数字逻辑第四版(欧阳星明著)课后习题答案下载

数字逻辑第四版(欧阳星明著)课后习题答案下载数字逻辑第四版(欧阳星明著)课后答案下载第1章基础概念11.1概述11.2基础知识21.2.1脉冲信号21.2.2半导体的导电特性41.2.3二极管开关特性81.2.4三极管开关特性101.2.5三极管3种连接方法131.3逻辑门电路141.3.1DTL门电路151.3.2TTL门电路161.3.3CML门电路181.4逻辑代数与基本逻辑运算201.4.1析取联结词与正“或”门电路201.4.2合取联结词与正“与”门电路211.4.3否定联结词与“非”门电路221.4.4复合逻辑门电路221.4.5双条件联结词与“同或”电路241.4.6不可兼或联结词与“异或”电路241.5触发器基本概念与分类251.5.1触发器与时钟271.5.2基本RS触发器271.5.3可控RS触发器291.5.4主从式JK触发器311.5.5D型触发器341.5.6T型触发器37习题38第2章数字编码与逻辑代数392.1数字系统中的编码表示392.1.1原码、补码、反码412.1.2原码、反码、补码的运算举例472.1.3基于计算性质的几种常用二-十进制编码48 2.1.4基于传输性质的几种可靠性编码512.2逻辑代数基础与逻辑函数化简572.2.1逻辑代数的基本定理和规则572.2.2逻辑函数及逻辑函数的表示方式592.2.3逻辑函数的标准形式622.2.4利用基本定理简化逻辑函数662.2.5利用卡诺图简化逻辑函数68习题74第3章数字系统基本概念763.1数字系统模型概述763.1.1组合逻辑模型773.1.2时序逻辑模型773.2组合逻辑模型结构的数字系统分析与设计81 3.2.1组合逻辑功能部件分析813.2.2组合逻辑功能部件设计853.3时序逻辑模型下的数字系统分析与设计923.3.1同步与异步933.3.2同步数字系统功能部件分析943.3.3同步数字系统功能部件设计993.3.4异步数字系统分析与设计1143.4基于中规模集成电路(MSI)的数字系统设计1263.4.1中规模集成电路设计方法1263.4.2中规模集成电路设计举例127习题138第4章可编程逻辑器件1424.1可编程逻辑器件(PLD)演变1424.1.1可编程逻辑器件(PLD)1444.1.2可编程只读存储器(PROM)1464.1.3现场可编程逻辑阵列(FPLA)1484.1.4可编程阵列逻辑(PAL)1494.1.5通用阵列逻辑(GAL)1524.2可编程器件设计1604.2.1可编程器件开发工具演变1604.2.2可编程器件设计过程与举例1604.3两种常用的HDPLD可编程逻辑器件164 4.3.1按集成度分类的可编程逻辑器件164 4.3.2CPLD可编程器件1654.3.3FPGA可编程器件169习题173第5章VHDL基础1755.1VHDL简介1755.2VHDL程序结构1765.2.1实体1765.2.2结构体1805.2.3程序包1835.2.4库1845.2.5配置1865.2.6VHDL子程序1875.3VHDL中结构体的描述方式190 5.3.1结构体的行为描述方式190 5.3.2结构体的数据流描述方式192 5.3.3结构体的结构描述方式192 5.4VHDL要素1955.4.1VHDL文字规则1955.4.2VHDL中的数据对象1965.4.3VHDL中的数据类型1975.4.4VHDL的运算操作符2015.4.5VHDL的预定义属性2035.5VHDL的顺序描述语句2055.5.1wait等待语句2055.5.2赋值语句2065.5.3转向控制语句2075.5.4空语句2125.6VHDL的并行描述语句2125.6.1并行信号赋值语句2125.6.2块语句2175.6.3进程语句2175.6.4生成语句2195.6.5元件例化语句2215.6.6时间延迟语句222习题223第6章数字系统功能模块设计2556.1数字系统功能模块2256.1.1功能模块概念2256.1.2功能模块外特性及设计过程2266.2基于组合逻辑模型下的VHDL设计226 6.2.1基本逻辑门电路设计2266.2.2比较器设计2296.2.3代码转换器设计2316.2.4多路选择器与多路分配器设计2326.2.5运算类功能部件设计2336.2.6译码器设计2376.2.7总线隔离器设计2386.3基于时序逻辑模型下的VHDL设计2406.3.1寄存器设计2406.3.2计数器设计2426.3.3并/串转换器设计2456.3.4串/并转换器设计2466.3.5七段数字显示器(LED)原理分析与设计247 6.4复杂数字系统设计举例2506.4.1高速传输通道设计2506.4.2多处理机共享数据保护锁设计257习题265第7章系统集成2667.1系统集成基础知识2667.1.1系统集成概念2667.1.2系统层次结构模式2687.1.3系统集成步骤2697.2系统集成规范2717.2.1基于总线方式的互连结构2717.2.2路由协议2767.2.3系统安全规范与防御2817.2.4时间同步2837.3数字系统的非功能设计2867.3.1数字系统中信号传输竞争与险象2867.3.2故障注入2887.3.3数字系统测试2907.3.4低能耗系统与多时钟技术292习题295数字逻辑第四版(欧阳星明著):内容提要点击此处下载数字逻辑第四版(欧阳星明著)课后答案数字逻辑第四版(欧阳星明著):目录本书从理论基础和实践出发,对数字系统的基础结构和现代设计方法与设计手段进行了深入浅出的论述,并选取作者在实际工程应用中的一些相关实例,来举例解释数字系统的设计方案。

数字逻辑第六章作业答案

数字逻辑第六章作业答案

6.38 十进制译码器的设计 输入:四位 D、C、B、A,BCD码 输出:十位 Y0~Y9,十中取一码
DCBA
0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 … … 1 0 0 1
Y0
1 0 0 0 … … 0
Y1
0 1 0 0
Y2
0 0 1 0
Y3
0 0 0 1
Y4
0 0 0 0
BILL _ L ( A 2 A1 A 0 A 2 A1 A 0 )
= ( Y 0 + Y1 )
'
'
'
BILL _ L ( A 2 A1 ) JOAN
_ L ( A 2 A1 )
ANNA _ L ( A 2 A1 ) DAVE _ L ( A 2 A1) MARY _ L ( A 2 A 0 ) P A U L _ L ( A 2 A 0 ) K A T E _ L ( A 2 A 0 ) F R E D _ L ( A 2 A 0 )
Y5
0 0 0 0
Y6
0 0 0 0
Y7
0 0 0 0
Y8
0 0 0 0
Y9
0 0 0 0
0
0
0
0
0
0
0
0
1
1 0 1 0
… …
d
d d
d
d d
d
d d
d
d d
d
d d
d
d d
d
d d
d
d d
d
d d
d
d d
1 1 1 1

在线网课《数字逻辑(山东联盟-烟台大学)》课后章节测试答案

在线网课《数字逻辑(山东联盟-烟台大学)》课后章节测试答案

绪论单元测试1【多选题】(5分)计算机的五大组成部分是()、()、()、输入设备和输出设备。

A.控制器B.运算器C.硬盘D.存储器2【判断题】(5分)数字逻辑课程是计算机专业的一门学习硬件电路的专业基础课。

A.错B.对3【判断题】(5分)计算机的运算器是能够完成算术和逻辑运算的部件,逻辑运算比如与运算。

A.错B.对第一章测试1【单选题】(10分)与二进制数1101011.011对应的十六进制数为()A.53.3B.73.3C.6B.3D.6B.62【单选题】(10分)与二进制数101.011等值的十进制数是()A.5.175B.5.375C.3.625D.5.6753【单选题】(10分)(17)10对应的二进制数是()A.10011B.101111C.10110D.100014【判断题】(10分)数字电路中用“1”和“0”分别表示两种状态,二者通常无大小之分A.错B.对5【判断题】(10分)格雷码具有任何相邻码只有一位码元不同的特性A.对B.错6【多选题】(20分)以下代码中为无权码的为()A.余三码B.格雷码C.5421BCD码D.8421BCD码7【单选题】(10分)十进制数25用8421BCD码表示为()A.00100101B.11010C.11001D.101018【单选题】(10分)BCD码1001对应的余3BCD码是()A.1011B.1100C.1000D.10109【单选题】(10分)8421BCD码001001010100转换成十进制数为()A.252B.1250C.1124D.254第二章测试1【单选题】(5分)在何种输入情况下,“或非”运算的结果是逻辑0A.任一输入为0,其他输入为1B.全部输入是0C.全部输入是1D.任一输入为12【单选题】(5分)一个两输入端的门电路,当输入为1和0时,输出不是1的门是()A.或门B.异或门C.与非门D.或非门3【多选题】(10分)求一个逻辑函数F的对偶式,可将F中的()。

数字逻辑课程作业答案

数字逻辑课程作业答案

数字逻辑课程作业_A交卷时间:2016-05-04 16:55:11 一、单选题1.(4分)如图x1-275A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第一章收起解析答案D解析第一章补码2.(4分)以下电路中常用于总线应用的有()A. TSL门B.OC门C. 漏极开路门D.CMOS与非门纠错得分:0知识点:第三章收起解析答案A解析第三章其他类型的TTL与非门电路3.(4分)如果异步二进制计数器的触发器为10个,则计数状态有()种A. A:20B. B:200C. C:1000D. D:1024纠错得分:0知识点:第九章收起解析答案D解析第九章计数器4.(4分)用n个触发器构成的计数器,可得到的最大计数模是()A. (A) nB. (B) 2nC. (C) 2nD. (D)2n-1纠错得分:4知识点:第六章收起解析答案C解析第六章触发器电路结构和工作原理5.(4分)如图x1-109A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第四章收起解析答案C解析第四章组合逻辑电路的分析6.(4分)如图x1-229A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第五章收起解析答案D解析第五章译码器7.(4分)如图x1-218A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第十一章收起解析答案C解析第十一章数字系统概述8.(4分)化简如图h-d-1-22A. AB. BC. CD. D纠错得分:0知识点:第三章收起解析答案A解析第三章逻辑代数基础/逻辑函数的化简9.(4分)如图x1-371A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第十一章收起解析答案C解析第十一章数字系统概述10.(4分)如图x1-165A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第二章收起解析答案D解析第二章逻辑函数表达式的形式和转换方法11.(4分)如图x1-342A. (A)B. (B)C. (C)D. (D)纠错得分:4知识点:第五章收起解析答案C解析第五章数据选择器12.(4分)数字系统工作的特点是具有___ 。

数字电路答案第六章

数字电路答案第六章

A T V,、-、、/:第六章可编程逻辑器件PLD可编程逻辑器件PLD 是由用户借助计算机和编程设备对集成电路进行编程,使之具有预定的逻辑功能,成为用户设计的ASIC 芯片。

近年来,可编程逻辑器件从芯片密度上、速度上发展相当迅速,已成为集成电路的一个重要分支。

本章要求读者了解PLD 器件的工作原理,掌握用可编程逻辑器件设计数字电路的方法。

为掌握使用电子设计自动化和可编程逻辑器件设计电路系统的后续课程打下良好的基础。

第一节基本知识、重点与难点一、基本知识(一)可编程逻辑器件PLD 基本结构可编程逻辑器件PLD 包括只读存储器ROM 、可编程只读存储器PROM 、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL和可擦写编程逻辑器件EPLD等。

它们的组成和工作原理基本相似,其基本结构由与阵列和或阵列构成。

与阵列用来产生有关与项,或阵列把所有与项构成“与或”形式的逻辑函数。

在数字电路中,任何组合逻辑函数均可表示为与或表达式,因而用“与门-或门” 两级电路可实现任何组合电路,又因为任何时序电路是由组合电路加上存储元件(触发器)构成的,因而PLD 的“与或”结构对实现数字电路具有普遍意义。

(二)可编程逻辑器件分类1. 按编程部位分类PLD 有着大致相同的基本结构,根据与阵列和或阵列是否可编程,分为三种基本类型:(1)与阵列固定,或阵列可编程;(2)与或阵列均可编程;(3)与阵列可编程,或阵列固定。

2. 按编程方式分类(1)掩膜编程;(2)熔丝与反熔丝编程;(3)紫外线擦除、电可编程;(4)电擦除、电可编程;(5)在系统编程(Isp)。

(三)高密度可编程逻辑器件HDPLD单片高密度可编程逻辑器件HDPLD(High Density Programmable Logic Device )芯片内,可以集成成千上万个等效逻辑门,因此在单片高密度可编程逻辑器件内集成数字电路系统成为可能。

HDPLD 器件在结构上仍延续GAL 的结构原理,因而还是电擦写、电编程的EPLD 器件。

数字逻辑课后习题答案(华中科技大学出版社-欧阳星明主编)ppt课件

数字逻辑课后习题答案(华中科技大学出版社-欧阳星明主编)ppt课件
(3)33.33 = (100001.0101)2 = (41.24)8= (41.2508)8= (41.2507)8 = (21.5)16 = (21.547B)16=(21.547A)16
精选编辑ppt
7
习题课
1.8 如何判断一个二进制数B=b6b5b4b3b2b1b0能否被(4)整除?
解答: 因 为 B= b6b5b4b3b2b1b0 , 所 以 ( B)2= b6×26+
反函数: FAB C EBD B G E 对偶函数:F 'A B C E B D E B G
精选编辑ppt
17
习题课
2.5 回答下列问题: (1)如果已知X+Y=X+Z,那么Y=Z。正确吗?为什么? (2)如果已知XY=XZ,那么Y=Z。正确吗?为什么? (3)如果已知X+Y=X+Z,且XY=XZ,那么Y=Z。正确吗?
解答:
(1) 反函数:
FAB AB
F A B A B (A B )A ( B ) A B A B
对偶函数: F '(A B )A (B )A B A B
(2) F(A B )A (C )C (D) E E
反函数: F ( A B A C C ( D E )E ) A B E A C E C D E
babaaba?aab?互补率1右边??bbabba???2左边结合率cbaa??cccaba?bbcaccba???bbccaabbccaaccbbaabbaa??????bbccaaccbbaaccbbaa????3左边右边14cacbba??cacbba??cacbabaabc??右边?c?b4右边习题课习题课23用真值表验证下列表达式
习题课
第 一 章 基本知识
1.1 什么是数字信号?什么是模拟信号?试各举一例。

数字电路第6章习题答案

数字电路第6章习题答案

6.2 试作出101序列检测器得状态图,该同步电路由一根输入线X ,一根输出线Z ,对应与输入序列的101的最后一个“1”,输出Z=1。

其余情况下输出为“0”。

(1) 101序列可以重叠,例如:X :010101101 Z :000101001 (2) 101序列不可以重叠,如:X :010******* Z :0001000010 解:1)S 0:起始状态,或收到101序列后重新开始检测。

S 1:收到序列起始位“1”。

S 2:收到序列前2位“10”。

10101…X/Z0/01/0X/Z11…100…2)10101…X/Z0/0X/Z11…100…6.3对下列原始状态表进行化简:(a)解:1)列隐含表:A B CDC B ×A B CD C B ×AD BC ××(a)(b)2)进行关联比较 所有的等价类为:AD ,BC 。

最大等价类为:AD ,BC ,重新命名为a,b 。

3)列最小化状态表为:a/1b/0bb/0a/0aX=1X=0N(t)/Z(t)S(t)(b)N (t )/Z (t )S (t )X=0 X=1A B/0 H/0B E/0 C/1C D/0 F/0D G/0 A/1E A/0 H/0F E/1 B/1G C/0 F/0H G/1 D/1解:1)画隐含表:2)进行关联比较:AC,BD,EG ,HF,之间互为等价隐含条件,所以分别等价。

重新命名为: a, b, e, h 3)列最小化状态表:N (t )/Z (t ) S (t )X=0 X=1a b/0 h/0b e/0 a/1 e a/0 h/0 h e/1 b/1试分析题图6.6电路,画出状态转移图并说明有无自启动性。

解:激励方程:J1=K1=1;J2=Q1n⎯Q3n,K2=Q1nJ2=Q1n Q2n,K2=Q1n状态方程:Q1n+1=⎯Q1n·CP↓Q2n+1=[Q1n⎯Q3n⎯Q2n+⎯Q1n Q2n]·CP↓Q3n+1=[Q1n Q2n⎯Q3n+⎯Q1n Q3n]·CP↓状态转移表:序号Q3Q2Q10 1 2 3 4 5 000 001 010 011 100 101偏离状态110Æ111111Æ000状态转移图状态转移图:Q3Q2Q1偏离态能够进入有效循环,因此该电路具有自启动性。

数字逻辑第6章习题参考解答

数字逻辑第6章习题参考解答

第6章习题参考解答6-3 画出74x27三输入或非门的德摩根等效符号。

解:图形如下6-10 在图X6.9电路中采用74AHCT00替换74LS00,利用表6-2的信息,确定从输入端到输出端的最大时间延迟。

解:该图中从输入到输出需要经过6个NAND2;每个NAND2(74AHCT00)的最大时间延迟为9 ns;所以从输入端到输出端的最大时间延迟为:54 ns。

6-31 BUT门的可能定义是:“如果A1和B1为1,但A2或B2为0,则Y1为1;Y2的定义是对称的。

”写出真值表并找出BUT门输出的最小“积之和”表达式。

画出用反相门电路实现该表达式的逻辑图,假设只有未取反的输入可用。

你可以从74x00、04、10、20、30组件中选用门电路。

解:真值表如下A1 B1 A2 B2 Y1 Y2 A1 B1 A2 B2 Y1 Y20 0 0 0 0 0 1 0 0 0 0 00 0 0 1 0 0 1 0 0 1 0 00 0 1 0 0 0 1 0 1 0 0 00 0 1 1 0 1 1 0 1 1 0 10 1 0 0 0 0 1 1 0 0 1 00 1 0 1 0 0 1 1 0 1 1 00 1 1 0 0 0 1 1 1 0 1 00 1 1 1 0 1 1 1 1 1 0 0利用卡诺图进行化简,可以得到最小积之和表达式为Y1=A1·B1·A2’+A1·B1·B2’ Y2=A1’·A2·B2+B1’·A2·B2Y 2采用74x04得到各反相器 采用74x10得到3输入与非 采用74x00得到2输入与非 实现的逻辑图如下:6-32 做出练习题6-31定义的BUT 门的CMOS 门级设计,可以采用各种反相门逻辑的组合(不一定是二级“积之和”),要求使用的晶体管数目最少,写出输出表达式并画出逻辑图。

解:CMOS 反相门的晶体管用量为基本单元输入端数量的2倍;对6-31的函数式进行变换:()()()()'2211'2'211'211'2111B A B A B A B A B B A A B A Y ⋅⋅⋅=+⋅⋅=⋅⋅+⋅⋅= ()()()()'1122'1'122'122'1222B A B A B A B A B B A A B A Y ⋅⋅⋅=+⋅⋅=⋅⋅+⋅⋅= 利用圈-圈逻辑设计,可以得到下列结构:()()()'''22'111B A B A Y ⋅+⋅= ()()()'''11'222B A B A Y ⋅+⋅=此结构晶体管用量为20只 (原设计中晶体管用量为40只)6-20 采用一片74x138或74x139二进制译码器和NAND 门,实现下列单输出或多数出逻辑函数。

数字电子技术课后习题答案

数字电子技术课后习题答案

ABACBC
BC
A
00 01 11 10
00
1
0
1
11
0
1
0
Y ABC
❖ 3.13某医院有一、二、三、四号病室4间,每室设有 呼叫按钮,同时在护士值班室内对应的装有一号、 二号、三号、四号4个指示灯。
❖ 现要求当一号病室的按钮按下时,无论其它病室的 按钮是否按下,只有一号灯亮。当一号病室的按钮 没有按下而二号病室的按钮按下时,无论三、四号 病室的按钮是否按下,只有二号灯亮。当一、二号 病室的按钮都未按下而三号病室的按钮按下时,无 论四号病室的按钮是否按下,只有三号灯亮。只有 在一、二、三号病室的按钮均未按下四号病室的按 钮时,四号灯才亮。试用优先编码器74148和门电路 设计满足上述控制要求的逻辑电路,给出控制四个 指示灯状态的高、低电平信号。
HP RI/BIN
I0
0/ Z1 0 10 ≥1
I1
1/ Z1 1 11
I2
2/ Z1 2 12 18
YS
I3
3/ Z1 3 13
I4
4/ Z1 4 14
YEX
I5
5/ Z1 5 15
I6
6/ Z1 6 16
I7
7/ Z1 7 17
Y0
V18
Y1
ST
E N
Y2
(b)
74148
(a)引脚图;(b)逻辑符号
A
00 01 11 10
00
0
0
1
11
1
0
1
Y AB BC AC
由于存在AC 项,不存在相切的圈,故无冒险。
❖ 4.1在用或非门组成的基本RS触发器中,已知 输入SD 、RD的波形图如下,试画出输出Q, Q

数字逻辑 白中英 第六版 答案

数字逻辑 白中英 第六版 答案

第六章习题答案1现有D触发器组成的三个n位寄存器,需要连接起来传送数据。

当控制信号S a有效时,执行(Ra)→Rc的操作;当控制信号S b有效时,执行(R b)→R C的操作。

试写出连接电路的逻辑表达式,并画出逻辑电路图。

解:Rc = Ra·Sa·LDC + Rb·Sb·LDC2 现有D触发器组成的四个8位寄存器,要求它们之间实现数据传送,试设计连接电路。

解:BUS3 ALU的输出端一般带有一个移位器,其功能为:①ALU输出正常传送;②ALU输出左移1位(ALU i+1)传送;③ALU输出右移一位(ALU i-1)传送。

试设计移位器的逻辑电路。

解:4 一个系统有A,B两条总线,为了接收来自任何一条总线上的数据并驱动任何一条总线,需要一个总线缓冲寄存器。

请用D触发器和三态门设计一个总线缓冲寄存器。

解:5 试构造能完成下列程序操作的ASM图:(a)if X = N, then … 。

(b)if X≠N, then …, else …。

解:(c)for X from A to B, step C, do… 。

解:(d)while X = Y, do …。

解:(e)if X > N OR X < O, then …, else …。

解:6 有一个数字比较系统,它能对两个8位二进制进行比较。

其操作过程如下:先将两个8位二进制数存入寄存器A和B, 然后进行比较,最后将大数移入寄存器A中。

要求:⑴画出此系统方框图,并构造ASM流程图。

⑵设计一个计数器型控制器。

解:(1)②状态转移真值表PS NSB A B( D ) A( D )转移条件 C0 00 11 0 1 10 11 01 11 00 1无条件转移无条件转移无条件转移( A > B ) = 1A >B = 0根据 NS = PS·C 公式,激励方程表达式为:B ( D ) = BA + BA + BA·( A > B )A ( D ) = BA + BA + BA·( A >B ) = A + BA ·( A > B )③电路图④ 控制信号表达式:7. 根据题6的条件,设计一个MUX 型控制器。

数字电子技术 第六章习题答案

数字电子技术 第六章习题答案

第六章 习题解答6.1. 分析题图P6.1所示电路的功能,列出功能表。

解:图P6.1所示电路的功能表如表6.1所示。

将功能表中各变量数值关系的逻辑函数用对应的“卡诺图”如图6.1所示。

RS 具有约束条件RS =0,触发器的逻辑表达式为⎪⎩⎪⎨⎧=+=+0RS Q R S Q n 1n ,根据这一逻辑表达式,P6.1逻辑电路具有基本RS 触发器的逻辑功能,约束条件是SR=0。

6.2同步RS 触发器与基本RS 触发器的主要区别是什么?解:同步RS 触发器与基本RS 触发器的主要区别是基本RS 触发器的RS 输入信号不论任何时刻都是有效的,只要RS 输入的状态组合发生变化,输出Q 的状态跟随发生变化;而同步同步RS 触发器的RS 输入信号只要在CP 时钟脉冲信号有效时段内起作用,只有在这一时段内,输出Q 的状态才跟随RS 输入的状态组合变化而发生变化。

1& & 1QR图 P6.1QR S Q nQ n+1功 能 1 1 1 1 0 1 不用 不用 不允许11 0 0 0 1 0 0 01=+n Q 置0 0 0 1 1 0 1 1 1 11=+n Q 置10 00 00 10 1n n Q Q =+1 保持6.3如图P6.3 (a)所示电路的初始状态为Q =1,R 、S 端和CP 端的信号如图P6.3(b )所示,画出该同步RS 触发器相应的Q 和Q 端的波形。

解:根据图P6.3 (a)所示电路结构,其功能为同步RS 触发器,电路的特性方程为:⎪⎩⎪⎨⎧=+=+0RS Q R S Q n 1n ,若R=S=1,在CP 时钟脉冲信号为“1”的时段内,触发器的两个输出端的状态均输出“1”,此种情况下,若CP 时钟脉冲信号从“1”状态,跳变为“0”的输入状态,则触发器的两个输出状态为不确定状态。

根据特性方程以及电路的初始状态,作出电路的输出端时序图如图6.3所示。

6.4 主从RS 触发器输入信号的波形如图P6.4(a )、(b )所示。

数字逻辑第6章习题解答.docx

数字逻辑第6章习题解答.docx

习题六6.1可编程逻辑器件有哪些主要特点?PLD作为一种通用型可编程逻辑器件,而它的逻辑功能又是由用户通过对器件编程来自行设定的。

它可以把一个数字系统集成在一•片PLD上,而不必由芯片制造厂商去设计和制作专用集成芯片。

采用PLD设计数字系统和中小规模相比具有如下特点:(1)减小系统体积:单片PLD有很高的密度,可容纳中小规模集成电路的几片到十几片。

(低密度PLD小于700门/片,高密度PLD每片达数万门,最高达25万门)。

(2)增强逻辑设计的灵活性:使用PLD器件设计的系统,可以不受标准系列器件在逻辑功能上的限制;用户可随时修改。

(3)缩短设计周期:由于可完全由用户编程,用PLD设计一个系统所需时间比传统方式大为缩短;(4)用PLD与或两级结构实现任何逻辑功能,比用中小规模器件所需的逻辑级数少。

这不仅简化了系统设计,而减少了级间延迟,提高了系统的处理速度;(5)由于PLD集成度高,测试与装配的量大大减少。

PLD可多次编程,这就使多次改变逻辑设计简单易行,从而有效地降低了成本;(6)提高系统的可靠性:用PLD器件设计的系统减少了芯片数量和印制板面积,减少相互间的连线,增加了平均寿命,提高抗干扰能力,从而增加了系统的可靠性;(7)系统貝有加密功能:多数PLD器件,如GAL或高密度可编程逻辑器件,木身具有加密功能。

设计者在设计时选屮加密项,可编程逻辑器件就被加密。

器件的逻辑功能无法被读出,有效地防止电路被抄袭。

6.2常见PLD器件有哪些主要类型?常见PLD器件根据可编程逻辑器件问世的时间,我们把PLA、PAL和GAL称为早期的可编程逻辑器件,把CPLD及FPGA称为近代的可编程逻辑器件。

也有人把它们分别称为低密度PLD和高密度PLDo6.3简述PAL和PLA在结构上的主要区别。

PAL是由可编程的与阵列、固定的或阵列和输出电路三部分组成。

冇些PAL器件中,输出电路包含触发器和从触发器输出端到与阵列的反馈线,便丁实现时序逻辑电路。

数字逻辑(第二版)毛法尧课后题答案(1-6章)

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一1.1 把下列不同进制数写成按权展开式:⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-31.2 完成下列二进制表达式的运算:1.3 将下列二进制数转换成十进制数、八进制数和十六进制数:⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)101.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位:⑴(29)10=(1D)16=(11101)2=(35)8⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)81.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除?解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除.1.6 写出下列各数的原码、反码和补码:⑴0.1011[0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011⑵0.0000[0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000⑶-10110[-10110]原=110110; [-10110]反=101001; [-10110]补=1010101.7 已知[N]补=1.0110,求[N]原,[N]反和N.解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.10101.8 用原码、反码和补码完成如下运算:⑴0000101-0011010[0000101-0011010]原=10010101;∴0000101-0011010=-0010101。

(完整word版)《数字逻辑》(第二版)习题答案-第六章

(完整word版)《数字逻辑》(第二版)习题答案-第六章

习 题 六1 分析图1所示脉冲异步时序逻辑电路。

(1) 作出状态表和状态图; (2) 说明电路功能。

图1解答(1)该电路是一个Mealy 型脉冲异步时序逻辑电路。

其输出函数和激励函数表达式为211221212Q D x C Q D x Q CQ x Q Z =====(2)电路的状态表如表1所示,状态图如图2所示。

现 态 Q 2 Q 1次态/输出ZX=10 0 0 1 1 0 1 1 01/0 11/0 10/0 00/1图2(3) 由状态图可知,该电路是一个三进制计数器。

电路中有一个多余状态10,且存在“挂起”现象。

2 分析图3所示脉冲异步时序逻辑电路。

(1) 作出状态表和时间图; (2) 说明电路逻辑功能。

图3解答○1 该电路是一个Moore 型脉冲异步时序逻辑电路,其输出即电路状 态。

激励函数表达式为 1321123132233Q C C CP;C 1;K K K 1J ; Q J ; Q Q J =========○2 电路状态表如表2所示,时间图如图4所示。

表2图4○3 由状态表和时间图可知,该电路是一个模6计数器。

3 分析图5所示脉冲异步时序逻辑电路。

(1) 作出状态表和状态图; (2) 说明电路逻辑功能。

图5时 钟CP 现 态 Q 3 Q 2 Q 1 次 态 Q 3(n+1)Q 2(n+1)Q 1(n+1)11111111000 001 010 011 100 101 110 111 001 010 011 100 101 000 111 000解答○1 该电路是一个Moore 型脉冲异步时序逻辑电路,其输出函数和激励函数表达式为322111132212122212x y x R ; x S y x y x x R ; y y x S y y Z +==++===○2该电路的状态表如表3所示,状态图如图6所示。

表3现态 y 2y 1次态y 2(n+1)y 1(n+1)输出 Zx 1 x 2 x 3 0001 11 1001 01 01 0100 11 00 0000 00 10 000 0 0 1图6○3 该电路是一个“x 1—x 2—x 3”序列检测器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第六章习题答案1现有D触发器组成的三个n位寄存器,需要连接起来传送数据。

当控制信号S a有效时,执行(Ra)→Rc 的操作;当控制信号S b有效时,执行(R b)→R C的操作。

试写出连接电路的逻辑表达式,并画出逻辑电路图。

解:Rc = Ra·Sa·LDC + Rb·Sb·LDC2 现有D触发器组成的四个8位寄存器,要求它们之间实现数据传送,试设计连接电路。

解:BUS3 ALU的输出端一般带有一个移位器,其功能为:①ALU输出正常传送;②ALU输出左移1位(ALU i+1)传送;③ALU输出右移一位(ALU i-1)传送。

试设计移位器的逻辑电路。

解:4 一个系统有A,B两条总线,为了接收来自任何一条总线上的数据并驱动任何一条总线,需要一个总线缓冲寄存器。

请用D触发器和三态门设计一个总线缓冲寄存器。

解:5 试构造能完成下列程序操作的ASM图:(a)if X = N, then … 。

(b)if X≠N, then …, else …。

解:(c)for X from A to B, step C, do… 。

解:(d)while X = Y, do …。

解:(e)if X > N OR X < O, then …, else …。

解:6 有一个数字比较系统,它能对两个8位二进制进行比较。

其操作过程如下:先将两个8位二进制数存入寄存器A和B, 然后进行比较,最后将大数移入寄存器A中。

要求:⑴画出此系统方框图,并构造ASM流程图。

⑵设计一个计数器型控制器。

解:(1)②状态转移真值表PS NSB A B( D ) A( D )转移条件 C0 00 11 0 1 10 11 01 11 00 1无条件转移无条件转移无条件转移( A > B ) = 1A >B = 0根据 NS = PS·C 公式,激励方程表达式为:B ( D ) = BA + BA + BA·( A > B )A ( D ) = BA + BA + BA·( A >B ) = A + BA ·( A > B )③电路图④ 控制信号表达式:7. 根据题6的条件,设计一个MUX 型控制器。

① ASM 流程图LDR b LDR a CAPLDR b = ( 状态 a + 状态 c ) T 2 = ( BA + BA ) T 2 = AT 2LDRa = 状态 b ·T 2 = BAT 2CAP = 状态 d = BALDR b LDR a CAPB 2② 状态转移表③ 电路图④ 控制信号表达式为:LDR B =(状态a +状态c)·T 2=(+B )·T 2LDR A =状态b·T 2=A·T 2 CAP=状态d =BA8. 根据题6的条件,设计一个定序型控制器。

① ASM 流程图十进制编码PS NSBA B(D)A(D)转移条件C0 (00)1 (01)2 (10)3 (11)00110101011110101C B =0 , C A =1C B =1, C A =0C B =1, C A =1C B =(A>B), C A =0 BA >C A =CB =0, MU×BLDR ALDR BCAPT 清B A A B②状态转移表(3)写出激励方程NS=Σ PS·C控制信号表达式(4)逻辑电路图9.根据题6的条件,设计一个微程序控制器。

①微程序流程图②微指令格式③定时信号T1-----打入微指令寄存器定时 T2-----执行部件控制信号定时 T3-----修改微地址并读出控存定时 ④ 微程序控制器电路⑤ 微程序代码10. 某控制器的状态表如下表所示,其中X 和Y 为输入变量,试设计一个计数器型控制器。

① ASM 流程图与编码(Q 1,Q 2为两个触发器) 令 状态A=00, B=01, C=10, D=11当前微地址微指令二进制代码微命令判别下一微地址000001000101100010001010000100010100010110000100PS NS输出FXY=00 01 10 11XY=00 01 10 11A B C DA B C D A A C D A B B D ABCD0001000101111001② 状态转移表③ 激励方程表达式利用NS=∑PS·C 公式,使用D 触发器。

Q 2(D)=·X + ·XY + Q 1·X + Q 1·XY +Q 2·XY + Q 2 Q 1·X + Q 2 Q 1·XY= ·X + ·XY + Q 1·XQ 1(D) = ·Y + Q 1·XY + Q 2(X+Y) + Q 2Q 1·Y = ·Y + Q 2·X + Q 2·Y ④ 电路图PS NS 转移条件Q 2Q 1Q 2Q 10 0 0 11 01 10 00 0 0 01 01 10 0 0 10 11 10 0 0 11 01 10 11 01 1xy xyxy xyxy xyxy xy xy xyxy xy xy xyxy xy2Q 1Q Y 2Q 1Q 2Q Y 2Q 1Q Y 2Q 1Q 1Q 2Q 1Q 2Q 1Q 2Q 1Q⑤ 控制信号表达式(假设为电位控制信号)F=状态A·+ 状态B·Y + 状态C·X + 状态D =·+ Q 1·Y + Q 2·X + Q 2Q 111. .根据题10的条件,设计一个MUX 型控制器 解答:1) ASM 流程图与编码同计数器型控制器(见第10题答案) 2) 按MUX 方式列出状态转移真值表3) 画出电路图清X Y X Y 2Q 1Q X Y 2Q X 1Q Y F12.根据题10的条件,设计一个定序型控制器解答:1)ASM流程图与计数器法相同2)使用Qa、Qb、Qc、Qd四个触发器,编码分别为Qa=1000,Qb=0100,Qc=0010,Qd=00013)状态转移真值表4)写出激励方程NS=Σ PS·C5)画出电路图13.设计一个累加运算系统定序型控制器解答:1)算法流程图2)状态转移真值表及激励函数表达式NS=∑PS·C(C=1,无条件转移)3)控制信号表达式4)电路图14.设计一个累加运算系统MUX型控制器解答:1)ASM流程图2)状态转移真值表及激励表达式NS=∑PS·C3)电路图4)控制信号表达式15. 图P6.1所示ASM 流程图,设计计数器型控制器 解:(1)ASM 流程图与编码(Q 1,Q 2为两个触发器) 令 状态a=00, b=01, c=11, d=10 ② 状态转移表(3)次态方程(4)控制信号16.根据图P6.1所示ASM 流程图,设计一个MUX 型控制器 解:(1)ASM 流程图、编码、状态转移真值表同计数器型控制器(见第15题答案)(2) MUXA 的输出接触发器D 2,MUXB 的输出接触发器D 1,则(3)控制信号17. 根据图P6.1所示ASM 流程图,设计一个定序型控制器解: 1)使用Qa 、Qb 、Qc 、Qd 四个触发器对应四个状态a ,b ,c ,d2)状态转移真值表及激励方程表达式PS NS转移条件Q 2Q 1Q 2Q 10 1 0 00 1 1 01 10 0 1 01 10 00 11 11 0xy xyzx x Åw xwxwxw Q Q x Q Q y x Q Q Q Q Q xwQ Q w x Q Q z xy Q Q Q nn 1212121211212122+++=++=xyF 12=xw w x MUXA MUXA x z y x z xy MUXA MUXA +==+=+==)3(0)2()1(0)0(xw MUXB MUXB x MUXB MUXB ==+=+==)3(0)2()1(1)0(xyQ Q F 12=(3)控制信号18. 根据图P6.1所示ASM 流程图,设计一个微程序控制器。

解:步骤如下● 将ASM 流程图转化为微程序流程图 ● 确定微指令地址 ● 确定微命令● 确定微指令格式和字长 ● 确定控制存储器容量● 写出微地址转移逻辑表达式 ● 将微指令编译成二进制代码。

19. 根据教材图P6.7所示通路,设计一个微程序控制器。

略20. 设计十字路口交通灯控制器 解:交通灯控制系统结构框图PS NS 转移条件Q a Q d 1 0 0 0xy xyzx x Åw xwxwQ b Q c Q a Q d Q b Q c 0 1 0 00 1 0 00 1 0 00 0 0 1 0 0 1 00 0 1 01 0 0 00 0 0 1 0 0 1 0 0 0 0 11 0 0 0Q xy Q D Q xwQ x Q D Q x Q Q D Q Qw x Q D Q cbdcbcbabdca+=+=+=+Å=)()()()(xy Q F b=控制系统ASM图如下21.设计一个彩灯控制器。

解:彩灯电路框图如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity light isport(clk1: in std_logic; ---时钟信号light: buffer std_logic_vector(11 downto 0)); --输出end light;architecture behv of light isconstant len: integer:=11;signal banner: std_logic:='0'; ----定义信号banner为两种节拍转换信号;signal clk,clk2: std_logic; ----信号CLK,CLK2作为辅助时钟beginclk<=(clk1 and banner) or (clk2 and not banner);process(clk1)beginif clk1'event and clk1='1' then ---CLK1二分频得CLK2 clk2<=not clk2;end if;end process;process(clk) ----variable flag: bit_vector(3 downto 0):="0000";beginif clk'event and clk='1' thenif flag="0000" thenlight<='1' & light(len downto 1); ----顺序向右循环移位if light(1)='1' then ----依次点亮flag:="0001";end if;elsif flag="0001" then ———依次熄灭light<=light(len-1 downto 0) & '0';if light(10)='0' thenflag:="0010";end if;elsif flag="0010" thenlight<= light(len-1 downto 0) & '1'; ----顺序向左循环移位if light(10)='1' then ----依次点亮flag:="0011";end if;elsif flag="0011" then ———依次熄灭light<= '0' & light(len downto 1);if light(1)='0' thenflag:="0100";end if;elsif flag="0100" thenlight(len downto 6)<=light(len-1 downto 6)&'1'; ---从中间向两边点light(len-6 downto 0)<='1'&light(len-6 downto 1);if light(1)='1' thenflag:="0101";end if;elsif flag="0101" thenlight(len downto 6)<='0'&light(len downto 7); ----从两边向中间熄light(len-6 downto 0)<=light(len-7 downto 0)&'0';if light(2)='0' thenflag:="0110";end if;elsif flag="0110" thenlight(len downto 6)<='1'&light(len downto 7); ----奇偶位循环点亮light(len-6 downto 0)<='1'&light(len-6 downto 1);if light(1)='1' thenflag:="0111";end if;elsif flag="0111" thenlight<="000000000000";flag:="1000";elsif flag="1000" then ----从新开始banner<=not banner; ---banner信号转换,实现第二种节拍flag:="0000";end if;end if;end process;end behv;。

相关文档
最新文档