汽车尾灯控制电路课程(参考模板)
汽车尾灯控制电路说明书
目录1、课程设计目的 (2)2、课程设计内容和要求2.1、设计内容 (2)2.2、设计要求 (2)3设计方案 (2)3.1、设计思路 (2)3.2、工作原理及硬件框图 (2)3.3、硬件电路原理图 (3)(一)脉冲产生电路 (3)(二)循环移动电路 (3)(三)左转右转控制电路 (4)(四)刹车、检查电路 (4)3.4、PCB版图设计 (6)4、课程设计总结 (6)5、参考文献 (8)1、课程设计目的①掌握电子电路的一般设计方法和设计流程;②学习使用PROTEL软件绘制电路原理图及印刷板图;③掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确性。
2、课程设计内容和要求:2.1、设计内容汽车尾部左右两侧各有3个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁2.2、设计要求a.当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
b. 当接通刹车电键时,汽车所有的尾灯同时闪烁。
c.当接通检查电键时,汽车所有的尾灯点亮。
3、设计方案3.1、设计思路分四种情况,左转、右转、刹车、检查,通过电路控制每种情况的灯亮暗,利用数字电路控制每种情况的转变,从而完成汽车尾灯控制。
3.2、工作原理及硬件框图由设计的基本要求可知,整个电路包括以下几部分:时钟产生电路,左转右转控制电路,检查和刹车控制电路组成。
其中时钟产生电路有555定时器组成,用于产生频率为1赫兹的脉冲信号。
该脉冲信号作为刹车时的输入信号,控制尾灯的闪烁;左传右转控制电路控制汽车尾灯按照左循环或右循环的顺序依次点亮。
其系统的原理框图如图所示:电路原理设计示意图 3.3、硬件电路原理图 一.脉冲产生电路f=1.43/(R1+2R2)/C 取 R1=40.23K,R2=15.1K,C=10微法。
则输出信号频率为1赫兹(时钟产生电路:该电路由555定时器构成的多谐振荡器组成电路如图,它的输出信号频率为 周期为1秒)图1 脉冲产生电路二.循环移动电路汽车在左右转向时,其尾灯按照顺序循环点亮。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
数字电路课程设计汽车尾灯控制电路报告.
沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
《数字电子技术课程设计》——汽车尾灯控制电路
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。
二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。
三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。
汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。
四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。
五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。
本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。
数电汽车尾灯控制电路课程设计精选全文
可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。
(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。
三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。
可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。
电子技术课程设计汽车尾灯控制电路
电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。
当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。
RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。
汽车尾灯控制电路设计课程设计
汽车尾灯控制电路设计1. 综述本课题要求设计一个汽车尾灯的控制电路。
该电路是用于反映汽车在运行时的状态,汽车尾部左右两侧各有4个指示灯。
当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。
假设汽车尾灯左右两侧各有4个指示灯(用发光二极管模拟),要求是:汽车正常远行时指示灯全灭;右转弯时,右侧4个指示灯按右循环顺序点亮;左转弯时左侧4个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。
经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给触发器和刹车时的输入信号。
触发器用于产生三进制的的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过8个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的4个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过与门,实现与刹车左、右转电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
设计本电路是考虑了三种以上的设计方案。
这几种方案的不同在于产生三进制计数器。
理论部分已用Proteus软件进行仿真,并且达到设计要求。
实际部分在电子实验室和同组的成员在老师的指导下一进行模拟,能够达到理论设计要求。
2. 方案选择与论证方案一:该方案通过74LS160或74LS161计数器构成能产生00、01、10三种状态循环的信号。
方案二:通过双 J-K 触发器 74LS76来产生00、01、10的三种状态信号方案三:通过D触发器产生00、01、10的三种状态信号方案四:通过T触发器产生00、01、100的三种状态信号第一种方案非常简单,但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。
所以不推荐使用,相对的是第二种方案,效果是最好的,但设计起来比较复杂,需要极大的细心和耐心,最后我们决定采用第三种方案,设计的复杂程度适中,而且达到了预期的设计目的3.电路设计框图及功能描述表3.1系统框图表3.2 尾灯与汽车运行状态表开关控制运行状态左尾灯右尾灯D4D5D6 D1D2D3 O O 正常运行 灯灭 灯灭O1右转弯灯灭按D1D2D3顺序循环点亮 1 O 左转弯 按D4D5D6顺序循环点亮灯灭11临时刹车所有的尾灯随时钟CP 同时闪烁电路组成及工作原理:经过以上所述的设计内容及要求的分析,可以将电路分为以下1S 0S几部分:首先,通过555定时器产生频率为1Hz 的脉冲信号,该脉冲信号用于提供给D 触发器和刹车时的输入信号。
汽车尾灯控制电路doc
五、
逻辑功能表
由上式得开关控制电路,如图所示:
开关控制电路
六、
汽车尾灯控制电路如图8所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个与门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 、 、 依次为0有效( 、 、 信号为“1”无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 、 、 依次为0有效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。整体电路图如下:
在课程设计期间,我努力上网和到图书馆找资料,学习相关理论知识,虽然有些辛苦,但也是值得的 。设计中基本实现了汽车在运行时候尾灯点亮方式的各种情况。由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现。在设计中可以再多用一个74161来做,从而简化电路图。所以如果在时间允许的条件下可以对这一系列的不足进行解决,从而是整个系统更加可靠。
正确的组装方法和合理的布局,不仅可使电路整齐美观、工作可靠,而且便于检查、调试和排除故障。如果能在组装前先拟订出组装草图,则可获得事半功倍之效果,使组装既快又好。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
数字电路课程设计(汽车尾灯控制)
西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R1R2→R1R2R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L1L2→L1L2L3→全灭→L1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R1R2R3 L1L2L3点亮);当汽车后退的时候所有灯循环点亮;当晚上行车的时候汽车灯的最下一个灯一直点亮。
二、设计条件利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74160,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。
汽车尾灯控制电路设计总体框图如图1所示。
汽车尾灯和汽车运行状态表1-1图1 汽车尾灯控制电路设计总体框图四、设计内容⑤⑥(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明:由于汽车灯是的点亮是给人的不同的信息及该车将要发生的动作,所以汽车的灯在闪烁的时候不能超过一定的频率,但是频率也不能太小,所以我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率f 约为2HZ 。
然后通过计数器就能控制汽车灯在循环点亮的时候时间间隔约为0.5S ,这样就能让人很清楚的明白该汽车的动作以采取相应的动作从而避免交通事故的发生。
②.设计计算公式(对应右图):高电平时间: C R R tph)(7.021+=低电平时间:C R tpl27.0=占 空 比: RR Rt tt plphphD 2212+=+=③.设计最后图形如右图所示: 高电平时间 tph=250.0ms低电平时间tpl=213.9ms占 空 比 D=53.8% 频 率 f=2.158 ④.仿真波形以及连接图形:如右图所示为在multisim 7.0里仿真时的实际连接电路。
数电课程设计(汽车尾灯控制电路)
数字电子课程设计汽车尾灯控制电路设计院系:专业:姓名:班级:学号:时间:指导老师:目录1 设计内容及要求2 电路的工作原理3 系统方案4 系统框图5 单元电路的具体设计6 总体电路图7 测试单元电路8 调试过程中遇到的问题及解决方法9 设计体会心得10 参考文献设计前言本课题设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。
电路设计预计所需元件:74LS00两个、74LS04两个、74LS32两个、74LS74两个、555定时器一个、0.01uF和10uF电容各一个、50k欧姆和43k欧姆电阻各一个、1k欧姆电阻4个、200欧姆电阻6个、开关4个、发光二极管6个、导线若干一、设计内容及要求本课题设计一个汽车尾灯的控制电路。
该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。
当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
当接通刹车电键时,汽车所有的尾灯同时闪烁。
当接通检查电键时,汽车所有的尾灯点亮。
二、电路的工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。
3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
三、系统方案通过D触发器产生001、010、100的三种状态信号。
这是本次课程设计中使用的方案,该方案的详细设计将在下面详细叙述。
四、系统框图(图1:系统总体流程框图)五、单元电路的具体设计:1.由555定时器构成的多谐振荡器:由555定时器构成的多谐振荡器时输出频率为:f=1.43/(R1+R2)/C,这里选择R1=43K 欧姆,R2=50K 欧姆,C=10u 法拉,则输出信号为1赫兹(周期为1秒)。
汽车尾灯控制电路课程设计
汽车尾灯控制电路课程设计-CAL-FENGHAI.-(YICAI)-Company One1目录1绪论 (1)1.1课题研究背景及意义 (1)1.2设计主要内容 (1)2设计方案选取与论证 (2)1.1设计任务及要求 (2)1.2方案论述与选择 (2)1.2.1设计思路及流程 (2)1.2.2方案论述与确定 (3)1.2.3设计方案框图 (4)3硬件电路设计 (5)3.1开关控制电路 (5)3.2译码电路 (6)3.3三进制计数器 (8)3.4脉冲发生电路 (10)3.5显示驱动与尾灯显示电路 (10)4电路测试与仿真 (11)4.1Proteus简介 (12)4.2利用Proteus进行电路仿真 (13)5结论 (17)6致谢 (18)7参考文献 (19)1绪论1.1课题研究背景及意义现代汽车尾灯是19世纪90年代末由美国哥伦比亚号汽车把电灯作为前灯和尾灯,而提出的最早的一种尾灯结构形式。
它是在电灯技术逐步进步的基础上发展起来的,其中包含了尾灯的光源及其控制系统、反射镜、照射镜等。
随着氙气灯的使用,驾驶的安全性与舒适性得到很大的改善,不仅有助于缓解人们夜间驾驶的紧张与疲劳,而且克服了驾驶人员的安全问题并使汽车的尾灯使用寿命加长。
到如今已经发展出了各种各样绚丽多彩的尾灯形式。
老式汽车尾灯通常是基于传统的机械和纯电路的控制方式,完全取决于尾灯系统所采用的硬件来保证它的正常工作,一旦电路老化或者因为机械振动而引起的接触问题以及机械元件变形而不能及时触发电路电源开关,从而导致电路出现故障,这类问题是经常发生的,而除了选用更好的硬件系统元件外几乎没有别的可靠的方法来避免这类故障的发生,于是,选用智能型的元件来进行系统的设计,增加系统的稳定性和可控制性是非常必要且有重要意义的[1]。
现代汽车尾灯控制电路一般是用基于微处理器的硬件电路结构构成,但因为硬件电路存在局限性,不能随意的更改电路的功能和性能,所以可靠性不高,因此对汽车尾灯控制系统的发展带来了一定的局限性。
汽车尾灯控制电路设计课程设计-10页word资料
汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
1.2设计要求1、汽车正常运行时尾灯全部熄灭。
2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。
3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。
4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。
设计要求具体见表1-1。
表1-1汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。
原理图如2-1所示:第3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。
有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。
汽车尾灯课程精品文档9页
综述电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节.当今社会生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大,追尾时间的产生主要是由于司机的疏忽以及无法把握前方车辆的运行的状况而导致的;而汽车尾灯控制电路的产生,恰好有利于缓解这一状况,通过对尾灯的控制,体现汽车在公路的上的行驶状态,即汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁。
通过这一特点来提示后方车辆本车的行驶情况,有利于减少汽车追尾事件的发生,是一个值得普及的设计,而与此同时在此设计的基础上还可实现电路的拓展,例如加上被劫持报警装置等实用设备。
汽车尾灯控制电路如果在汽车领域广泛应用将有利于减少交通事故的发生。
1 总体逻辑结构1.1汽车尾灯运行状态关系根据课程设计任务书要求,分析汽车运行状态与尾灯关系可得如下关系表(表1-1)。
其中J1,J2代表控制开关。
表1-1 汽车尾灯与汽车运行关系表J2 J1 运行状态左尾灯右尾灯0 0 正常行驶灭灭0 1 1 11右转弯左转弯紧急刹车灭左尾灯循环闪烁所有灯同时闪烁右尾灯循环闪烁灭所有灯同时闪烁1.2汽车尾灯电路的逻辑电路关系按照以上汽车的运行状态与尾灯关系分析总结,写出汽车尾灯正常行驶,左转弯,右转弯,紧急刹车时的二进制代码,以实现汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮;左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁的任务要求。
其关系如下表(表1-2)。
表1-2汽车尾灯电路的逻辑关系表开关控制二进制代码左尾灯右尾灯J2 0 0 0 0 1 1 1 1 J11111Q1X11XQ0X11XD41C L KD51C L KD61C L KD11C L KD21C L KD31C L K1.3 总体方案框图根据课程设计任务书要求,以及汽车尾灯逻辑关系的分析,得出设计该电路大体需要开关控制电路、驱动显示电路、译码电路、时钟脉冲信号产生电路、三进制计数电路以组成汽车尾灯控制电路,其中驱动显示电路和译码电路共同构成了尾灯电路。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术课程设计——汽车尾灯控制电路设计
齐齐哈尔大学通信与电子工程学院
通信 091:孙彬彬
刁闯
王晓东
指导教师:梁老师
2011年6月20日
汽车尾灯控制电路设计
一、选题依据
当今社会,汽车的使用越来越多,虽然汽车尾灯控制系统的设计诞生以来经过了无数次的改进,得到了广泛的应用。
但是人们对汽车尾灯控制系统的认识还存在很多局限性,还有待我们继续对其研究和探讨。
探究一套更加合理的设计方法,以便更好的为国民经济的发展和人们的生活服务。
因此,进行汽车尾灯控制电路的设计研究,具有非常深远的实际和理论意义。
本次设计的题目是汽车尾灯控制电路,汽车尾灯控制电路使得汽车的行驶更加有秩序,更加方便操作。
二、设计要求及技术指标
1.设计要求:
(1)、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模
拟);
(2)、汽车正常运行时指示灯全灭;
(3)、汽车右转弯时,右侧3个指示灯按右循环顺序点亮;(4)、汽车左转弯时,左侧3个指示灯按左循环顺序点亮;(5)、在临时刹车时,所有指示灯同时闪烁。
2.技术指标:
用六个发光二极管模拟车尾部左右两侧的三个尾灯,用开关S1、S0模拟转向信号、运行信号和刹车信号.
三、电路结构及其工作原理
1.电路的结构框图:
图1 电路结构框图
2.电路的原理图:
图2 汽车尾灯电路原理图
图3 开关控制电路
3.电路工作原理
(1)列出尾灯与汽车运行状态表如下:
(2)设计总框图
由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(s
1、s
、CP、
Q 1、Q
)的关系,即逻辑功能表如下表所示:
(3)设计单元电路
三进制计数器电路
由74LS161构成如下所示:
译码电路
由3-8线译码器74LS138和6个与非门构成。
74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1、Q 0是三进制计数器的输出端。
当S 1=0、使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端Y 0,Y 1,Y 2依次为0有效(Y 3,Y 4,Y 5信号为“1”无效),即反相器G 1~G 3的输出端也依次为0,故指示灯D 1→D 2→D 3按顺序点亮,示意汽车右转弯。
若上述条件不变,而S 1=1,则74LS138对应的输出端Y 4、Y 5、Y 6依次为0有效,既反相器G 4~G 6的输出端为0,故指示灯按D 4→D 5→D 6顺序点亮,示意汽车左转弯。
当G=0,A=1时,74LS138的输出端全为1,G 6~G 1的输出端也全为1,指示灯全灭;当G=0,A=CP 时,指示灯随CP 的频率闪烁。
74LS138功能表
汽车尾灯电路
其显示驱动电路由6个法等等二极管和6个反向器构成;
④开关控制电路
设74LS138和显示驱动电路的使能信号分别为G和A,根据
总体逻辑功能表分析及组合得G、A与给定条件(S
1、S
、C
P
)的
真值表如下:
由上表且经过整理得逻辑表达式为
G=S
1⊕S
A=S
1
S
+S
1
S
CP=S
1
S
0·
S
1
S
CP
则得开关控制电路
⑤总电路图
四、电路主要元件简介
1.74LS161:为可预置的4位二进制同步计数器,是74系列
的IC芯片
2.74LS00:是2输入端四与非门与74LS04是六反相器。
3.74LS86:四异或门。
4.74LS138:74LS138 为 3 线-8 线译码器,共有
54/74S138和 54/74LS138 两种线路结构型式。
5.LED灯:发光二极管。
6.200欧电阻
7.76LS10。
五、课程设计体会:
经过这一周半的实习,学到了很多东西,锻炼了自己的能力,熟悉了MULTISIM这个软件。
作为信息时代的大学生,仅会操作鼠标是不够的,对原理的理解和电路的设计能力也非常重要。
之前所有的学习几乎都是理论性的,经过这次实习对很多器件的功能有了更深的了解。
虽然由于不熟悉软件在一开始遇到了很多问题,但经过自己耐心的反复琢磨,最终成功仿真了汽车尾灯控制电路。
如不慎侵犯了你的权益,请联系我们告知!
通过一个多星期的学习,使我们对multisim有了初步的系统了解。
这些知识在课堂上都学不到,当看到自己的汽车尾灯电路在软件上成功仿真时,高兴不已。
希望自己在以后可以从事和数字电路有关的工作。
总的来说自己有如下收获:1、对MULTISIM有了初步的系统了解。
我们了解到了汽车尾灯的构造等。
2、对自己的动手能力是个很大的锻炼。
在实习中,提高了自己解决问题的能力。
虽然在实习中会遇到难题,但是从中我学到了很多,使自己的理解能力也有所提高,我想在以后的理论学习中我就能够明白自己的学习
方向,强化了专业知识,更好的掌握数字电路的这门课程。
(本资料素材和资料部分来自网络,仅供参考。
请预览后才下载,期待您的好评与关注!)。