数字电子技术实验报告4

合集下载

数字电子技术实训报告(精选3篇)

数字电子技术实训报告(精选3篇)

数字电子技术实训报告(精选3篇)数字电子技术实训报告篇1这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。

了解了更多电子元件的工作原理,如:7448等。

同时也发现自对数电知识和电子设计软件掌握得不够。

其次在此次设计过程中由于我们频繁的使用一电子设计软件如:Proteus、protel等,因此使我进一步熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。

我认识到:数电设计每一步都要细心认真,因为任何一步出错的话,都会导致后面的环节发生错误。

比如在protel中画SCH电路时,就一定要细心确保全部无误,否则任何一个错误都会导致生成时发生错误,做成实物后就无可挽救了。

在的设计中,焊盘的大小,线路的大小,以及线间的距离等参数都要设置好,因为这关系到下一步的实物焊接。

在设计过程中遇到了一些问题,使得我查找各种相关资料,在增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能力。

这一课程设计,使我向更高的精神和知识层次迈向一大步。

在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。

数字电子技术实训报告篇2时间飞逝,在不知不觉中,我的实训生活结束。

通过实训,让我真正感觉到了做一个教师的难处,特别是幼儿教师的难处,不过在这次实训中,也让我收益颇丰。

在实训过程中,让我懂得了,要因人施教,不能一个模式一刀切,面对不同的幼儿用不同的方法。

因为每个孩子都有差异,都有自己的内心世界,他们好比一把锁,老师就是开启那把锁的主人。

真正走进他们的内心世界,去改变他们,教育他们,那么,这个世界就是天才的世界。

活动不能死板硬套,要因地制宜,因环境的改变而改变。

我们要用心去捕捉每个幼儿身上的可爱之处,及不足之处,并帮助他们去改正,不仅要关心和照顾幼儿,和幼儿家长的沟通也尤为重要,而且需要艺术。

数字电子技术实验报告

数字电子技术实验报告

数字电子技术实验报告学号:姓名:班级:实验一组合逻辑电路分析一、实验用集成电路引脚图74LS00集成电路:74LS20集成电路:二、实验内容1.ABCD接逻辑开关,“1”表示高电平,“0”表示低电平。

电路图如下:A=B=C=D=1时(注:逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。

)表格记录:结果分析:由表中结果可得该电路所实现功能的逻辑表达式为:F=AB+CD。

在multisim软件里运用逻辑分析仪分析,可得出同样结果:2.密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。

否则,报警信号为”1”,则接通警铃。

试分析密码锁的密码ABCD是什么?电路图如下:A=B=C=D=1时A=B= D=1,C=0时2.5 VA= D=1,B=C=0时记录表格:结果分析:由表可知,只有当A=D=1,B=C=0时,开锁灯亮;其它情况下,都是报警灯亮。

因此,可知开锁密码是1001。

三、实验体会与非门电路可以实现多种逻辑函数的功能模拟,在使用芯片LS7400和LS7420时,始终应该注意其14脚接高电平,8脚接地,否则与非门无法正常工作。

利用单刀双掷开关,可以实现输入端输入高/低电平的转换;利用LED灯可以指示输出端的高低电平。

实验二组合逻辑实验(一)半加器和全加器一、实验目的熟悉用门电路设计组合电路的原理和方法步骤。

二、预习内容1.预习用门电路设计组合逻辑电路的原理和方法步骤。

2.复习二进制数的运算。

①用与非门设计半加器的逻辑图。

②完成用异或门、与非门、与或非门设计全加器的逻辑图。

③完成用异或门设计的三变量判奇电路的原理图。

三、参考元件74LS283: 74LS00:74LS51: 74LS136:四、实验内容1.用与非门组成半加器,用异或门、与或非门、与非门组成全加器。

实验结果填入表中。

(1)与非门组成的半加器。

电路图如下(J1、J2分别代表Ai、Bi,图示为Ai、Bi分别取不同的电平时的仿真结果):2.5 V2.5 V2.5 V记录表格:(2)异或门、与或非门、与非门组成的全加器。

数字电字技术实验报告(3篇)

数字电字技术实验报告(3篇)

第1篇一、实验目的1. 理解数字电子技术的基本概念和原理。

2. 掌握数字电路的基本组成和逻辑功能。

3. 熟悉常用数字集成电路的使用方法和特点。

4. 培养分析和解决实际问题的能力。

二、实验器材1. 74LS系列数字集成电路2. 模拟电子实验箱3. 信号发生器4. 示波器5. 逻辑笔6. 连接线7. 电阻、电容、二极管等基础元件三、实验内容1. 数字电路基本组成和逻辑功能实验2. 常用数字集成电路实验3. 逻辑门电路实验4. 组合逻辑电路实验5. 时序逻辑电路实验四、实验原理1. 数字电路基本组成和逻辑功能:数字电路由逻辑门电路、触发器、计数器等基本单元组成,实现逻辑运算、计数、定时等功能。

2. 常用数字集成电路:包括逻辑门电路、触发器、计数器、译码器、编码器等。

3. 逻辑门电路:逻辑门电路是实现基本逻辑运算的单元,如与门、或门、非门等。

4. 组合逻辑电路:组合逻辑电路由逻辑门电路组成,实现输入与输出之间的逻辑关系。

5. 时序逻辑电路:时序逻辑电路由触发器组成,具有记忆功能,实现计数、定时等功能。

五、实验步骤与方法1. 数字电路基本组成和逻辑功能实验:(1)观察逻辑门电路的输入输出关系;(2)测试与门、或门、非门等基本逻辑门电路;(3)分析逻辑门电路的逻辑功能。

2. 常用数字集成电路实验:(1)观察数字集成电路的引脚排列和功能;(2)测试译码器、编码器、计数器等数字集成电路;(3)分析数字集成电路的逻辑功能。

3. 逻辑门电路实验:(1)观察逻辑门电路的输入输出关系;(2)测试与门、或门、非门等基本逻辑门电路;(3)分析逻辑门电路的逻辑功能。

4. 组合逻辑电路实验:(1)设计组合逻辑电路;(2)搭建实验电路;(3)观察电路的输入输出关系;(4)分析电路的逻辑功能。

5. 时序逻辑电路实验:(1)观察触发器的逻辑功能;(2)搭建时序逻辑电路;(3)观察电路的输入输出关系;(4)分析电路的逻辑功能。

六、实验结果与分析1. 数字电路基本组成和逻辑功能实验:通过实验,掌握了数字电路的基本组成和逻辑功能,了解了逻辑门电路的输入输出关系。

数电 实验四 数据选择器及其应用 实验报告

数电 实验四 数据选择器及其应用 实验报告

数电实验四数据选择器及其应用实验报告一、实验目的1. 了解数据选择器的原理和设计方法;2. 学会使用74LS138和74LS151等多位数据选择器;3. 掌握数据选择器在逻辑电路中的应用。

二、实验器材和器件1. 万用表2. 示波器3. 计算机、PSpice、Multisim4. 实验电路板、电路图5. TTL集成电路:74LS138、74LS151三、实验原理数据选择器(Data Selector)是用于在多个数据中选择一个或者少数几个数据的组合逻辑电路,也叫做多路选择器(Multiplexer)。

数据选择器可用于控制信号的选择,实现对信号进行分时复用、多路数据选通等功能。

常见的数据选择器有8选1、16选1等。

常用的数据选择器有两种类型:1.位选型数据选择器2.数据选型数据选择器1. 位选型数据选择器位选型数据选择器是指选中或分配单元的控制时使用二进制码,用来控制选通信号的输入。

2. 数据选型数据选择器数据选型数据选择器是由一个或多个数据信号为输入,它们与二进制控制信号一起给出n个数据信号的任意线性组合输出,通过对选择信号的控制,能够把其中的一路信号送到输出端。

例如,74LS151是一种8选1数据选择器(DMUX),它有8个输入端和1个输出端,还有3个控制端。

其中,控制端包括1个使能端(ENABLE)和2个选择端(A、B)。

输入端用来输入8个数据信号,而输出端则输出选择信号。

控制端用来输入控制信号,用来选择哪个输入端的数据信号送到输出端。

对于74LS151,控制信号的值决定了从哪个输入信号读取数据。

A B EN Y0 0 1 I00 1 1 I11 0 1 I21 1 1 I30 0 0 Z对于74LS138,3个控制信号的值决定了哪个输入信号将被传输到输出端口。

当输出选通(ENABLE=1)时,选通输出的某一输入的高电平(或低电平)基本上与输入选通指定的控制端台,关心。

实验4.2:8位数字式LED显示器应用通过构建逻辑电路,使用74LS151实现8位数码管的控制。

数电子技术实验报告

数电子技术实验报告

数电子技术实验报告一、实验目的本次数电子技术实验的目的在于深入理解数字电子技术的基本概念和原理,通过实际操作和实验观察,掌握数字电路的设计、组装和调试方法,提高对数字逻辑电路的分析和解决问题的能力。

二、实验设备与器材1、数字电路实验箱2、集成电路芯片:74LS00(四 2 输入与非门)、74LS86(四 2 输入异或门)、74LS138(3 线 8 线译码器)等3、示波器4、直流稳压电源5、万用表6、连接导线若干三、实验原理1、逻辑门电路逻辑门是数字电路的基本单元,常见的有与门、或门、非门、与非门、或非门、异或门等。

本实验中主要使用了与非门和异或门。

与非门的逻辑表达式为:Y =(A · B)',即当输入 A 和 B 都为1 时,输出 Y 为 0;否则输出 Y 为 1 。

异或门的逻辑表达式为:Y = A ⊕ B ,即当输入 A 和 B 不同时,输出 Y 为 1;否则输出 Y 为 0 。

2、组合逻辑电路组合逻辑电路是由逻辑门电路组合而成,其输出仅取决于当前的输入。

常见的组合逻辑电路有加法器、编码器、译码器等。

3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的原有状态有关。

常见的时序逻辑电路有计数器、寄存器等。

四、实验内容与步骤1、与非门和异或门逻辑功能测试(1)在实验箱上插入 74LS00 和 74LS86 芯片,按照芯片引脚图连接电路。

(2)使用逻辑电平开关分别输入不同的电平组合,用逻辑电平指示灯观察输出电平,记录并分析结果,验证与非门和异或门的逻辑功能。

2、利用与非门设计一个三人表决电路(1)根据表决逻辑(多数通过),列出真值表。

(2)根据真值表写出逻辑表达式,并化简。

(3)使用与非门搭建电路,进行实际测试。

3、 3 线 8 线译码器 74LS138 的功能测试(1)将 74LS138 芯片插入实验箱,按照引脚图连接电路。

(2)通过改变输入的地址码 A2、A1、A0 的电平组合,观察 8 个输出端 Y0 Y7 的电平状态,记录结果并分析译码器的功能。

数字电子技术实习报告

数字电子技术实习报告

一、实习背景随着科技的飞速发展,电子技术在我国国民经济中的地位日益重要。

为了提高我国电子技术水平,培养高素质的电子技术人才,我校组织开展了数字电子技术实习活动。

本次实习旨在使学生在掌握数字电子技术基本理论的基础上,通过实践操作,提高动手能力,培养团队协作精神,为今后从事电子技术工作打下坚实基础。

二、实习目的1. 通过实习,使学生掌握数字电子技术的基本理论,熟悉常用电子元器件的性能和特点。

2. 培养学生动手操作能力,提高学生在实际工作中解决问题的能力。

3. 增强学生对电子技术领域的了解,激发学生对电子技术的兴趣。

4. 培养学生团队合作精神,提高学生的沟通协调能力。

三、实习内容1. 熟悉数字电子技术的基本概念、基本原理和基本分析方法。

2. 掌握常用电子元器件的性能和特点,学会正确使用电子元器件。

3. 学会使用数字电路仿真软件,如Multisim等,进行电路设计和仿真。

4. 进行数字电路实验,包括组合逻辑电路、时序逻辑电路等。

5. 参与实际项目开发,提高学生的实际操作能力。

四、实习过程1. 实习前期,学生通过查阅资料、学习相关书籍,对数字电子技术的基本理论进行了系统学习。

2. 实习中期,学生按照实习指导书的要求,进行数字电路实验,掌握常用电子元器件的性能和特点。

3. 实习后期,学生参与实际项目开发,运用所学知识解决实际问题,提高自己的动手能力。

五、实习成果1. 学生掌握了数字电子技术的基本理论,熟悉常用电子元器件的性能和特点。

2. 学生的动手操作能力得到提高,能够熟练使用数字电路仿真软件。

3. 学生在项目开发过程中,培养了团队协作精神,提高了沟通协调能力。

4. 学生对电子技术领域的了解加深,激发了对电子技术的兴趣。

六、实习心得1. 数字电子技术是一门实践性很强的学科,通过实习,我深刻体会到理论知识与实际操作相结合的重要性。

2. 在实习过程中,我学会了如何查阅资料、分析问题、解决问题,提高了自己的自学能力和独立思考能力。

数字电子技术实验实验报告

数字电子技术实验实验报告

数字电子技术实验实验报告实验目的:本实验旨在通过实际操作加深对数字电路基本理论的理解,掌握数字电路的设计与测试方法,提高解决实际问题的能力。

实验原理:数字电子技术是电子工程领域中的一个重要分支,它主要研究数字信号的产生、传输、处理和存储。

在本实验中,我们将利用基本的数字逻辑门电路来实现特定的逻辑功能,并通过实验来验证理论。

实验设备与材料:1. 数字逻辑实验箱2. 逻辑门电路模块(如与门、或门、非门等)3. 逻辑笔或示波器4. 面包板5. 导线6. 电源实验步骤:1. 根据实验要求设计电路图,选择合适的逻辑门电路模块。

2. 在面包板上搭建电路,按照设计图连接各个逻辑门模块。

3. 连接电源,确保电路正确接通。

4. 使用逻辑笔或示波器测试各个节点的逻辑电平,验证电路功能是否符合预期。

5. 记录实验数据,包括电路图、测试结果等。

实验结果:在本次实验中,我们成功搭建了所需的数字电路,并对其进行了测试。

测试结果显示,电路的输出与预期一致,验证了设计的准确性。

实验分析:通过本次实验,我们不仅加深了对数字电路设计的理解,还学会了如何使用实验设备进行电路搭建和测试。

实验中遇到的问题和解决方案也为我们提供了宝贵的经验。

实验结论:本次实验达到了预期的教学目的,通过实际操作加深了对数字电子技术的理解,提高了解决实际问题的能力。

实验结果表明,所设计的电路能够正确实现预定的逻辑功能。

实验心得:通过本次实验,我认识到理论知识与实践操作相结合的重要性。

在实验过程中,我学会了如何将理论知识应用到实际中,同时也体会到了解决实际问题的乐趣。

在未来的学习中,我将继续努力,不断提高自己的实践能力和创新能力。

参考文献:[1] 张三. 数字电子技术基础. 北京:电子工业出版社,2020.[2] 李四. 数字电路设计与测试. 上海:上海科学技术出版社,2021.注:以上内容为示例文本,具体实验报告应根据实际实验内容进行编写。

数字电子技术实验报告(学生版)

数字电子技术实验报告(学生版)

数字电子技术实验报告开课实验室 指导教师 班级 学号 姓名 日期实验项目 实验一 TTL 逻辑门电路 和组合逻辑电路一、实验目的1.掌握TTL “与非”门的逻辑功能。

2.学会用“与非”门构成其他常用门电路的方法。

3.掌握组合逻辑电路的分析方法与测试方法。

4.学习组合逻辑电路的设计方法并用实验来验证。

二、预习内容1.用74LS00验证“与非”门的逻辑功能Y 1=AB 2.用“与非”门(74LS00)构成其他常用门电路Y 2=A Y 3=A+B=B A Y 4=AB B AB A实验前画出Y 1——Y 4的逻辑电路图,并根据集成片的引脚排列分配好各引脚。

3.画出用“异或”门和“与非”门组成的全加器电路。

(参照实验指导书P.128 图3-2-1)并根据集成片的引脚排列分配好各引脚。

4.设计一个电动机报警信号电路。

要求用“与非”门来构成逻辑电路。

设有三台电动机,A 、B 、C 。

今要求:⑴A 开机,则B 必须开机;⑵B 开机,则C 必须开机;⑶如果不同时满足上述条件,则必须发出报警信号。

实验前设计好电动机报警信号电路。

设开机为“1”,停机为“0”;报警为“1”,不报警为“0”。

(写出化简后的逻辑式,画出逻辑图及引脚分配)三、实验步骤1. 逻辑门的各输入端接逻辑开关输出插口,门的输出端接由发光二极管组成的显示插口。

逐个测试逻辑门Y 1-Y 4的逻辑功能,填入表1-1表1-12. 用74LS00和74LS86集成片按全加器线路接线,并测试逻辑功能。

将测试结果填入表 1-2。

判断测试是否正确。

图中A i 、B i 为加数,C i-1为来自低位的进位;S i 为本位和,C i 为向高位的进位信号。

表1-23.根据设计好的电动机报警信号电路用74LS00集成片按图接线,并经实验验证。

将测试结果填入表1-3。

表1-3四、简答题1.Y4具有何种逻辑功能?2.在实际应用中若用74LS20来实现Y=AB时,多余的输入端应接高电平还是低电平?3.在全加器电路中,当A i=0,S i*=1,C i=1时C i-1=?数字电子技术实验报告开课实验室 指导教师 班级 学号 姓名 日期 实验项目 实验二 组合逻辑电路的设计一、实验目的1.掌握用3线- 8线译码器74LS138设计组合逻辑电路。

数字电子技术实验报告

数字电子技术实验报告

数字电子技术实验报告导言:近年来,随着科学技术的快速发展,数字电子技术已经广泛应用于各个领域。

本实验旨在通过实践操作,深入了解数字电子技术的原理和应用。

实验目的:1. 理解数字电子技术的基本概念和原理。

2. 掌握数字电子技术的实验操作方法。

3. 能够分析和解决数字电子技术实验中遇到的问题。

实验器材与材料:1. 电路板2. 电源3. 逻辑门4. 显示屏5. 连接线6. 电阻等元件实验步骤:1. 准备实验器材和材料。

2. 按照电路图搭建数字电路。

3. 连接电源,检查电路的连接是否正确。

4. 打开电源,观察显示屏上的输出结果。

5. 记录实验数据。

6. 分析实验数据,并在报告中进行详细说明。

实验结果与数据分析:通过实验操作,我们成功搭建了一个数字电路,并获得了相应的结果。

实验数据显示,数字电路能够按照我们的设计预期进行工作。

在实验过程中,我们发现,数字电子技术的快速开关特性使得电路能够高效地进行逻辑运算,并能够产生与门、或门、非门等逻辑功能。

这种特性使得数字电子技术在计算机、通信和控制系统等领域得到了广泛的应用。

讨论与总结:通过本次实验,我们深入了解了数字电子技术的原理和应用。

我们发现数字电子技术不仅能够实现基本的逻辑运算,还能够在计算机、通信和控制系统中发挥重要作用。

然而,我们也意识到,数字电子技术在应用中仍然存在一些问题和挑战。

例如,在高速数字电路设计中,时钟频率和信号完整性是需要考虑的重要因素。

此外,在数字电子技术的应用中,信号传输延迟、功耗和散热等方面也需要进行综合考虑。

因此,今后的研究和实验工作应重点解决这些问题,进一步提高数字电子技术的性能和可靠性。

只有这样,数字电子技术才能更好地为我们的生产和生活带来便利。

结语:通过本次实验,我们对数字电子技术有了更深入的了解,并意识到数字电子技术在现代社会中的重要性。

希望我们能继续学习和探索,为推动数字电子技术的发展做出自己的贡献。

同时,也希望更多的人能够认识到数字电子技术的价值和应用前景,从而为数字化时代的到来做好准备。

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数字电子技术实验报告

数字电子技术实验报告

数字电子技术实验报告本实验报告旨在说明实验的目的和意义,包括数字电子技术的应用、实验所涉及的硬件和软件等内容。

数字电子技术逐渐成为现代电子领域的重要组成部分,广泛应用于通信、计算机、娱乐等领域。

本实验旨在通过探究数字电子技术的实际应用,加深对该技术的理解和掌握。

实验所涉及的硬件和软件包括数字逻辑门、集成电路、计算机模拟软件等。

通过实际操作和观察,探索数字电子技术的工作原理和特性,并研究如何设计和实现各种数字电路,如加法器、减法器、多路选择器等。

本实验报告将详细介绍实验的具体目的和意义,以便读者了解实验的背景和重要性,并为进一步研究和研究数字电子技术打下基础。

实验原理部分将解释实验所涉及的数字电子技术原理,包括数字信号处理和逻辑电路设计等方面的内容。

数字信号处理是一种针对数字信号进行处理和分析的技术。

它主要涉及将连续信号转换为离散信号,并使用数字算法对信号进行处理、分析和传输。

数字信号处理在通信、图像处理、音频处理等领域具有广泛的应用。

逻辑电路设计是基于数字逻辑的技术,用于实现逻辑功能。

逻辑电路设计包括逻辑门的设计和组合逻辑电路的设计。

逻辑门是基本的逻辑元件,包括与门、或门和非门等。

组合逻辑电路是由逻辑门组成的电路,在输入发生变化时按照预定的逻辑规则给出输出。

在实验中,通过对数字电子技术原理的研究和理解,我们可以掌握数字信号处理和逻辑电路设计的基本概念、原理和应用。

这些知识将有助于我们在工程和科学领域中进行数字电子系统的设计、分析和优化。

本实验的步骤包括所需材料和设备,操作流程以及注意事项等如下所述:材料和设备:数字电子技术实验箱面包板和导线数字集成电路(IC)开关、灯泡等电子元件操作流程:将实验箱和面包板连接起来。

准备所需的IC和其他电子元件,并将它们正确地插入面包板上。

使用导线将这些元件连接起来,按照实验指南中的电路图和接线图进行操作。

打开实验箱的电源,并根据实验指南中的步骤调整电路。

进行实验现象的观察和记录。

数字电子技术实验报告模板实验四

数字电子技术实验报告模板实验四
签名:
年 月 日
利用普通的机械开关组成的数据开关所产生的信号不可以作为触发器的时钟脉冲信号。因为机械开关在闭合时,由于机械开关接触点有弹性,会产生抖动,电路时通时断,输出一系列的脉冲,不是单个脉冲,造成触发器状态多次变化。
可以用作触发器的其它输入端的信号,因为别的输入端只要求电平的输入,对边沿的变化不敏感。
指导教师意见
输入
输出
Qn+1
n+1
0
1
1
0
1
0
0
1
1
1
Qn
n
0
0
φ
φ
2、测试双JK触发ຫໍສະໝຸດ 74LS112逻辑功能(1)测试 D、 D的复位、置位功能
任取一只JK触发器, D、 D、J、K端接逻辑开关输出插口,CP端接单次脉冲源,Q、 端接至逻辑电平显示输入插口。要求改变 D, D(J、K、CP处于任意状态),并在 D=0( D=1)或 D=0( D=1)作用期间任意改变J、K及CP的状态,观察Q、 状态。自拟表格并记录之。
3、连续脉冲源4、单次脉冲源
5、逻辑电平开关6、逻辑电平显示器
7、74LS112(或CC4027)、74LS00(或CC4011)、74LS74(或CC4013)
实验步骤与内容
1、测试基本RS触发器的逻辑功能
按图4-1,用两个与非门组成基本RS触发器,输入端 、 接逻辑开关的输出插口,输出端Q、 接逻辑电平显示输入插口,按表4-7要求测试,记录之。
思考题
RS触发器为什么不允许出现两个输入同时为零的情况?
rs触发器是边沿判断器件,当rs端同时有效时,无法判断出那一路先有效,即无法区分10状态还是01状态瞬间跳变到11状态

西北工业大学_数字电子技术基础_实验报告_实验4

西北工业大学_数字电子技术基础_实验报告_实验4

数字电子技术基础第四次实验报告一、描述QuartusII软件基本使用步骤1.用文本编辑器正确编写源文件(本例run.v),并经modelsim仿真确认该电路设计正确.2..打开QuartusII软件,新建工程New project (注意工程名和设计文件的module名保持一致),选择和开发板一致的FPGA器件型号。

(本课程为Cyclone IV E系列EP4CE115F29C7)3.添加文件,点击file->open,之后选择要添加的文件,并勾选Add file to current project.4.编译,Start Compilation ,编译源文件(如有错误修改后,重新编译)。

5. 查看电路结构,使用Tool->RTL viewer工具查看电路图结构,是否和预期设计一致。

6.管脚绑定,使用Assignment->pin planner将设计的全部输入/输出接口与开发板的对应管脚进行一一对应。

PIN_Y2 -to clkPIN_H19 -to out[7]PIN_J19 -to out[6]PIN_E18 -to out[5]PIN_F18 -to out[4]PIN_F21 -to out[3]PIN_E19 -to out[2]PIN_F19 -to out[1]PIN_G19 -to out[0]PIN_M23 -to rst7. Processing->Start Compilation,全编译生成可下载文件。

(.sof)8. 连接开发板,安装所需驱动程序(在设备管理器中,选择路径为quatus安装路径)9. 点击start开始烧录,完成后开发板上出现流水灯。

二、题目代码以及波形1.跑马灯设计及FPGA实现①编写模块源码module run (clk,rst,out);input clk,rst;output [7:0] out;reg [7:0] out;reg [24:0] count;always @ ( posedge clk or negedge rst ) if(!rst)begincount<=16'b0;endelsebegincount<=count+1;endalways @ ( posedge clk or negedge rst) if(!rst)beginout<=8'hff;endelsebegincase ( count[24:21] )0: out<=8'b1111_1110;1: out<=8'b1111_1101;2: out<=8'b1111_1011;3: out<=8'b1111_0111;4: out<=8'b1110_1111;5: out<=8'b1101_1111;6: out<=8'b1011_1111;7: out<=8'b0111_1111;8: out<=8'b1011_1111;9: out<=8'b1101_1111;10:out<=8'b1110_1111;11:out<=8'b1111_0111;12:out<=8'b1111_1011;13:out<=8'b1111_1101;14:out<=8'b1111_1110;15:out<=8'b1111_1111;endcaseendendmodule②测试模块`timescale 1ns/1psmodule tb_run;reg clk_test;reg rst_test;wire [7:0]out_test;initialclk_test=0;always #1 clk_test=~clk_test;initialbeginrst_test=1;#1rst_test=0;#1rst_test=1;#180rst_test=0;#1rst_test=1;endrun UUT_run(.clk(clk_test),.rst(rst_test),.out(out_test));endmodule③仿真后的波形截图④综合后的RTL图形1.有限状态机设计(教材Figure 6.86)①编写模块源码module sequence (Clock,Resetn,w,z);input Clock,Resetn,w;output z;reg [3:1]y,Y;parameter [3:1]A=3'b000,B=3'b001,C=3'b010,D=3'b011,E=3'b100;always@(w,y)case(y)A:if(w) Y=D;else Y=B;B:if(w) Y=D;else Y=C;C:if(w) Y=D;else Y=C;D:if(w) Y=E;else Y=B;E:if(w) Y=E;else Y=B;default: Y=3'bxxx;endcasealways@(negedge Resetn,posedge Clock) if(Resetn==0)y<=A;elsey<=Y;assign z=(y==C)|(y==E);endmodule②测试模块`timescale 1ns/1psmodule tb_sequence;reg Clock_test,Resetn_test,w_test;wire z_test;initialbeginClock_test=0;Resetn_test=0;w_test=1;endalways #10 Clock_test=~Clock_test;initialbegin#10Resetn_test=1;w_test=1;#10w_test=0;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=1;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=0;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=1;#20w_test=0;#20w_test=0;endsequence UUT_sequence(.Clock(Clock_test),.Resetn(Resetn_test),.w(w_test),.z(z_test));endmodule③仿真后的波形截图④综合后的RTL图形三、本次实验收获和心得通过本次试验真正接触了FPGA开发板并向板子上烤了文件,虽然题目较为简单,但是在完成的过程中遇到了不少问题,比如软件内部没有需要的开发板型号,自己通过搜索和下载,找到了相应的扩展包并成功添加进入高版本的quartus II软件当中,我的体会是,数字电路归根到底还是依靠硬件实现的,所以将代码的执行效果反映到硬件上是一个重要环节,应该不断练习,提高自己解决问题的能力;另外通过本次实验,我对有限状态机有了更加深入的了解。

数电综合实验报告(3篇)

数电综合实验报告(3篇)

第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。

2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。

3. 通过综合实验,培养团队合作精神和实践操作能力。

二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。

2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。

3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。

三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。

(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。

(3)使用ModelSim软件对加法器进行仿真,验证其功能。

2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。

(2)使用Verilog HDL语言编写代码,实现4位计数器。

(3)使用ModelSim软件对计数器进行仿真,验证其功能。

3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。

(2)使用Verilog HDL语言编写代码,实现数字时钟功能。

(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。

四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。

2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。

3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。

五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。

2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。

3. 培养了团队合作精神和实践操作能力。

六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。

2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。

数字电子技术实验四 数据选择器及其应用+学生报告

数字电子技术实验四 数据选择器及其应用+学生报告

实验名称:数据选择器及其应用1.实验目的(1)掌握中规模集成数据选择器的逻辑功能和使用方法。

(2)学习用数据选择器构成组合逻辑电路的方法。

2.实验设备与器件(1) +5V直流电源 (2) 逻辑电平开关(3) 逻辑电平显示器 (4)74LS151、74LS1533.实验原理数据选择器又叫“多路开关”。

数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。

数据选择器的功能类似一个多掷开关,如图4-3-1所示,图中有四路数据D0~D3,通过选择控制信号 A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。

数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。

数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

(1) 八选一数据选择器74LS15174LS151为互补输出的8选1数据选择器,引脚排列如图4-3-2。

选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,S为使能端,低电平有效。

图4-3-1 4选1数据选择器示意图图4-3-2 74LS151引脚排列①使能端S= 1 时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

②使能端S = 0 时,多路开关正常工作,根据地址码A 2、A 1、A 0的状态选择D 0~D 7中某一个通道的数据输送到输出端Q 。

如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q = D 0 。

如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q = D 1 ,其余类推。

(2) 双四选一数据选择器 74LS153所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。

引脚排列如图4-3-3。

图4-3-3 74LS153引脚排列S 1、S 2为两个独立的使能端;A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。

数电实验实验报告四译码器和数据选择器

数电实验实验报告四译码器和数据选择器

实 验 报 告一、实验目的1、熟悉集成译码器、数据选择器逻辑功能和应用。

2、了解中规模数字集成电路的性能和使用方法。

二、实验基本原理组合逻辑电路的逻辑功能 三、实验设备及器件74LS139、74LS153、电阻若干、LED 灯若干 四、操作方法和实验步骤1、74LS139(双2-4线译码器)功能测试图4-1 74LS139引脚图图4-1中,G 端为使能端,低电平有效;A0A1地址选择端;Y0~Y3是输出端(低电平有效)将G 、A1、A0端接逻辑电平开关,改变电平输入,观察74LS139译码输出的状态并填入表4-1中。

使能端 地址选择端 输出端 G ’ A1 A0 Y0 Y1 Y2 Y3 0 0 0 0 1 1 1 0 0 1 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 1**1111实验课程名称 数字电子技术实验 实验项目名称 译码器和数据选择器专业、班级 电子信息类四班实验日期 2020-06-01姓名、学号 同 组 人 教师签名成 绩实验报告包含以下7项内容:一、实验目的 二、实验基本原理三、主要仪器及设备 四、操作方法和实验步骤五、实验原始数据记录 六、数据处理过程及结果、结论 七、问题和讨论A 2Y04B 3Y15Y26E 1Y37U2:A74LS139(注:G' 表示低电平有效,Y0' 表示输出低电平有效)A2Y04B3Y15Y26E1Y37U2:A74LS13911AB1ED1LED-GREEND2LED-GREEND3LED-GREEND4LED-GREENR2220R3220R4220R5220Y 输出低电平有效,Y端为低电平时,LED灯亮图4-1 74LS139译码器功能测试图(注:电阻的元件名称:res ,通过修改res属性来修改电阻值)2、译码器转换。

将74LS139(双2-4线译码器)转换为3-8线译码器(1)画出转换电路图。

数字电路实验四实验报告

数字电路实验四实验报告

数字电路实验四实验报告实验四组合逻辑电路中的竞争冒险现象⼀实验⽬的1学会分析组合逻辑电路中有⽆竞争冒险现象。

2掌握采⽤修改逻辑电路设计的⽅法消除冒险现象。

⼆实验仪器安装有Multisim10电⼦线路仿真软件的计算机。

三实验原理1 图4-1是实验电路原理图。

2从电路原理图可知,函数表达式为F=C AAB+。

当B与C为1时,即接⼊⾼电位,F=AA+,输出始终为1,电路⼯作时,输出端探针x1显⽰始终为亮。

但在实际中,由于门电路运⾏时具有⼀定的传输延时间,A信号成为竞争冒险变量,输出端出现异常,探针x1显⽰出现闪亮,⽤⽰波器对输出端波形测试,波形出现不应有的尖脉冲。

3为测试⽅便,A信号采⽤1000Hz的⽅波信号源。

四实验步骤1打开Multisim10电⼦线路仿真界⾯,在TTL集成电路器件库中,按电路原理图取出元器件;在仪器库中取出⽰波器以及⽅波信号源、探针等。

按实验电路图4—1连接好。

2打开⼯作开关。

展开⽰波器操作界⾯,观察到有关波形后,调整扫描时间、灵敏度等,使⽰波器A、B通道展⽰波形适当,并画出有关波形。

打开⼯作开关后可见探针x1闪亮,如下图所⽰:打开⽰波器调整扫描时间和灵敏度等观察到波形如图A:图A:调整⽰波器的时间尺度,得出图B:图B3根据波形,分析出现的问题及其原因。

如图A,函数表达式为F=C AAB+。

当B与C为1时,即接⼊⾼电位,F=AA+,输出应该始终为1,但⽰波器中显⽰负尖峰脉冲,即电路存在竞争冒险。

如图B,可以看出竞争冒险的原因是B端的下降沿优先于A端的上升沿。

4采⽤增加冗余项的⽅法消除上述电路中竞争冒险现象。

画出修改后电路,并进⾏验证。

采⽤增加冗余项的⽅法,则需把函数表达式改为F=BC+,AB+AC 增加冗余项BC,在B=C=1时,⽆论A怎样修改,输出结果总为1。

修改后电路如下图所⽰,打开⼯作开关,探针x1正常发光,不再闪亮。

5⽤⽰波器观察电路修改后⼯作时的输出波形,将电路修改前后波形⽐较分析。

数字电路实验的实验报告(3篇)

数字电路实验的实验报告(3篇)

第1篇一、实验目的1. 理解和掌握数字电路的基本原理和组成。

2. 熟悉数字电路实验设备和仪器的基本操作。

3. 培养实际动手能力和解决问题的能力。

4. 提高对数字电路设计和调试的实践能力。

二、实验器材1. 数字电路实验箱一台2. 74LS00若干3. 74LS74若干4. 74LS138若干5. 74LS20若干6. 74LS32若干7. 电阻、电容、二极管等元器件若干8. 万用表、示波器等实验仪器三、实验内容1. 基本门电路实验(1)验证与非门、或非门、异或门等基本逻辑门的功能。

(2)设计简单的组合逻辑电路,如全加器、译码器等。

2. 触发器实验(1)验证D触发器、JK触发器、T触发器等基本触发器的功能。

(2)设计简单的时序逻辑电路,如计数器、分频器等。

3. 组合逻辑电路实验(1)设计一个简单的组合逻辑电路,如4位二进制加法器。

(2)分析电路的输入输出关系,验证电路的正确性。

4. 时序逻辑电路实验(1)设计一个简单的时序逻辑电路,如3位二进制计数器。

(2)分析电路的输入输出关系,验证电路的正确性。

5. 数字电路仿真实验(1)利用Multisim等仿真软件,设计并仿真上述实验电路。

(2)对比实际实验结果和仿真结果,分析误差原因。

四、实验步骤1. 实验前准备(1)熟悉实验内容和要求。

(2)了解实验器材的性能和操作方法。

(3)准备好实验报告所需的表格和图纸。

2. 基本门电路实验(1)搭建与非门、或非门、异或门等基本逻辑电路。

(2)使用万用表测试电路的输入输出关系,验证电路的功能。

(3)记录实验数据,分析实验结果。

3. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发电路。

(2)使用示波器观察触发器的输出波形,验证电路的功能。

(3)记录实验数据,分析实验结果。

4. 组合逻辑电路实验(1)设计4位二进制加法器电路。

(2)搭建电路,使用万用表测试电路的输入输出关系,验证电路的正确性。

(3)记录实验数据,分析实验结果。

数字电子技术实验报告

数字电子技术实验报告

《数字电子技术》实验报告实验序号:01 实验项目名称:门电路逻辑功能及测试学号姓名专业、班级实验地点物联网实验室指导教师时间2016.9.19一、实验目的1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。

2. 掌握数字电路实验箱及示波器的使用方法。

3、学会检测基本门电路的方法。

二、实验仪器及材料1、仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件:74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片三、预习要求1. 预习门电路相应的逻辑表达式。

2. 熟悉所用集成电路的引脚排列及用途。

四、实验内容及步骤实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。

注意集成块芯片不能插反。

线接好后经实验指导教师检查无误方可通电实验。

实验中改动接线须先断开电源,接好线后再通电实验。

1.与非门电路逻辑功能的测试(1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显图 1.1示发光二极管D1~D4任意一个。

(2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。

表1.1输入输出1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v)H H H H 0 0L H H H 1 1L L H H 1 1L L L H 1 1L L L L 1 12. 异或门逻辑功能的测试图 1.2(1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。

(2)将逻辑开关按表1.2的状态,将结果填入表中。

表1.2输入输出1(K1) 2(K2) 4(K35(K4) A B Y 电压(V)L H H H H L LLHHHHLLLHHLLLLLHH111111113. 逻辑电路的逻辑关系测试(1)用74LS00、按图1.3,1.4接线,将输入输出逻辑关系分别填入表1.3、表1.4中。

【最新】西南交大,数字电路,实验报告-word范文 (8页)

【最新】西南交大,数字电路,实验报告-word范文 (8页)

本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除!== 本文为word格式,下载后可方便编辑和修改! ==西南交大,数字电路,实验报告篇一:数字电子技术实验报告数字电子技术实验报告姓名:尚朝武学号:201X0123400044 实验时间:201X-12-24实验一(一) 1、实验内容:(1用静态法测试74LS00与非门电路的逻辑功能 2、实验原理图如图1.113、实验步骤:1) 用万用表测量双路跟踪稳压电源中的+5V电源电压; 2) 检查无误后引用通用接插板;3) 在芯片盒中找到74LS00芯片并插入通用接插板上; 4) 测试与非门的逻辑功能A. 按图1.1接线,检查接线无误后通电;;B. 设置输入变量A、B的高(H)、低(L)电平,并分别测量与非门的输出电压U;(U>3.6V时,则Y=H(1);反之,Y=L(0))。

5)用万用表测量输出电压,并将结果填入表1.1.1中 4、实验结果见表1.1.1表1.1.1(二 1、实验内容用动态测试法验证图(a)、(b)、(c)的输入输出波形。

2、实验原理图图图图(表)d74ls86管脚图和引脚图及真值表3、实验步骤1)利用实验一——(一)的双路跟踪稳压电源中的+5V电源电压; 2)检查无误后引用通用接插板;3)在芯片盒中分别找到74LS86、74LS60芯片并分别插入通用接插板上; 4)分次按图a、b、c、d接线,检查接线无误后通电;设置输入变量A的信号为100kHz 5)分别记下数字显示器显示的波形。

4、实验结果见下图图a的输入(图上)、输出(图下)波形图b的输入(图上)、输出(图下)波形三)图c的输入(图上)、输出(图下)波形1、实验内容:(1用静态法测试74LS139静态译码器的逻辑功能 2、实验原理图如图A、B 3、实验步骤:1) 利用实验一——(一)的双路跟踪稳压电源中的+5V电源电压; 2) 检查无误后引用通用接插板;3) 在芯片盒中找到74LS139芯片并插入通用接插板上; 4) 测试74LS139译码器的逻辑功能a) 按图1.1接线,检查接线无误后通电;;b) 设置输入变量A、B及E的高(H)、低(L)电平,并分别测量74LS139的输出电压U;(U>3.6V时,则Y=H(1);反之,Y=L(0)); 5)用万用表测量输出电压,并将结果填入表1.2中 4、实验结果见表1.2图A 74LS139的管脚图篇二:201X-201X西南交大数字电路第1次作业(注意:若有主观题目,请按照题目,离线完成,完成后纸质上交学习中心,记录成绩。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验成绩实验日期指导教师批阅日期
实验名称触发器及应用
1、实验目的
(1)掌握基本SR锁存器、D触发器、JK触发器的逻辑功能和状态变化特点。

(2)掌握基本SR锁存器、D触发器、JK触发器测试方法。

(3)掌握用D触发器、JK触发器构成分频器的方法。

(4)掌握不同逻辑功能触发器相互转换的方法。

2.实验原理
1、SR锁存器
两个与非冂构成。

输入Sp Rp;
输出Q、Q'。

Q、Q'互为反。

Sp——置1输入
Rp——置O输入
约束条件: Sp、Rp不能同时为0。

2、D触发器
D:数据输入; CP:脉冲输入。

.输出Q、Q’。

Q、Q’互为反。

特点:输出跟随输入
3、JK触发器
特点:
J=0、K=0时,输出状态保持
J=0、K=1时,输出置0
]=1、K=0时,输出置1
]=1、K=1时,输出状态翻转
特性方程Q n+1=JQ n’+ K’Q n
4、T触发器
特点:
T=0,输出状态保持
T=1,输出状态翻转
5、T’触发器
特点:
时钟脉冲每作用一-次,触发器翻转一次。

特性方程Q n+1=Q n’
3、实验步骤
1.基本SR锁存器逻辑功能分析、测试
用一片74LS00,选择两个与非门连接构成基本SR锁存器,见图所示,按表的顺序在Sp、Rp 端加入信号观察并记录Q、Q端的状态,将结果记入表中,说明在各种输入状态下分别实现何种功能。

2. D触发器逻辑功能分析、测试
1、74LS74是上升沿触发的双D-触发器,配有各自的复位、置位、Cp端。

2、在Cp端的上升沿到来时,Q n+1=D
先连接线路
(1)分别在Sp、Rp端加低电平,观察并记录Q、Q状态,结果记入表2.5.3中。

(2)令Sp、Rp高电平,D分别接高低电平,用单脉冲作为CP,观察并记录CP从0到↑1时,从1↓到0时状态变化情况。

(3)令S
D ’=R
D
’=1,CP=0 (或CP=1时)改变D端信号,观察Q端的状态是否变化。

3.负边沿JK触发器逻辑功能分析、测试
先给定现态。

(Qn)
接着给定条件。

(Sp、Rp、D)
然后给脉冲。

(CP)
最后观察输出。

(Qn+1)
4、用D触发器构成分频器
由于D触发器的状态改变在上升沿,所以经过两个时钟脉冲后D触发器才能有一个完整的脉冲波,故一个D触发器可以构成一个二分频电路,两个D触发器级联即可实现四分频。

实验时输入端加1000Hz连续脉冲信号,用示波器观察输出信号,检验结果是否正确。

二分频电路图
四分频电路图
4、实验仪器设备
(1)数字电子技术实验箱1台。

(2)数字双踪示波器1台。

(3)元器件:74LS00、74LS74、74LS112各1片。

(4)仿真实验:电脑
5、数据记录与处理(包括定性、定量误差分析) 1.基本SR 锁存器逻辑功能分析、测试
S D ’ R D ’ Q
Q ’ 功能 0 0 1 1 混乱 0 1 1 0 置1 1 0 0 1 置0 1
1 1 0 跟随
1
2. D 触发器逻辑功能分析、测试
S D ’ R D ’ CP D Q n Q n+1 0 1 ×
× 0 1 1 1 1 0 × × 0 0 1 0 1 1 ↑ 0 0 0 1 0 1
1

1
0 1 1
1
3.负边沿JK触发器逻辑功能分析、测试
J K CP Q n Q n+1 0 0 →↓→0 0 0 0 →↓→ 1 1 0 1 →↓→0 0
0 1 →↓→ 1 0
1 0 →↓→0 1 1 0 →↓→ 1 1 1 1 →↓→0 1 1 1 →↓→ 1 0
4、用D触发器构成分频器
二分频
四分频
6、结论(实验结果的分析和论证)
1.Rs触发器又称为置0置1触发器,S为置一端(置位端),R为置零端(复位端)。

特征方程为Q n+1=S + R’Q n,约束条件为RS=0。

2.D触发器又称为跟随触发器,特征方程为Q n+1=D.
3. 结论:JK触发器又称为置0置1翻转触发器,J为置一端(置位端),K为置零端(复位端)。

特征方程为Q n+1=JQ n’+ K’Q n。

4. 结论:T触发器又称为翻转触发器,特征方程为Q n+1=TQ n’+ T’Q n。

5. 结论:T'触发器又称为必翻转触发器,特征方程为Q n+1=Q n
6. 结论:经过两个时钟脉冲后D触发器才能有一个完整的脉冲波,故一个D触发器可以构成一个二分频电路,两个D触发器级联即可实现四分频。

7、思考题
1.JK触发器实现四分频如何实现,请画出电路图结果
2.触发器构成功能转换与测试
(1)将D触发器和JK触发器分别转换成T触发器列出表达式,画出设计实验电路。

(2)将D触发器和JK触发器分别转换成T'触发器列出表达式,画出设计实验电路。

相关文档
最新文档