同步二进制加法计数器
二进制十进制同步加法计数器 逻辑ic芯片
二进制十进制同步加法计数器逻辑ic芯片二进制十进制同步加法计数器是一种逻辑集成电路(IC)芯片,可用于进行二进制的加法和计数操作。
它主要由逻辑门和触发器构成,能够实现数字计数与加法运算的功能。
在本文中,我将详细介绍二进制十进制同步加法计数器的工作原理、设计流程以及应用场景。
首先,让我们了解一下二进制和十进制的概念。
二进制是一种由0和1组成的数制,用来表示数字和进行计算。
而十进制是指以10为基数的数制,由0至9的数字组成。
二进制数字的加法和十进制数字的加法有着类似的原理,但操作方法稍有不同。
二进制十进制同步加法计数器的主要功能是进行加法和计数操作。
它能够将输入的二进制数值与当前内部存储的数值相加,并将结果输出。
在进行计数操作时,只需要连续输入0、1的脉冲信号即可完成对二进制数值的计数。
二进制十进制同步加法计数器的实现主要依赖于逻辑门和触发器。
逻辑门用来实现不同输入信号的逻辑运算,而触发器则用于存储并传递逻辑运算的结果。
常见的逻辑门有AND门、OR门、NOT门等,触发器常用的有RS触发器、D触发器等。
在设计二进制十进制同步加法计数器时,需要根据具体的需求来选择适当的逻辑门和触发器,并将它们按照一定的电路连接方式进行组合,以实现所需的功能。
以下是一个简单的设计流程供参考:1.确定计数器的位数:根据需求确定计数器需要的位数,决定计数范围和精度。
2.选择逻辑门和触发器:根据计数器的位数和功能需求选择适当的逻辑门和触发器。
3.连接逻辑门和触发器:按照设计需求将选择好的逻辑门和触发器进行连接,形成计数器的核心电路。
4.确定输入和输出信号:确定计数器的输入信号和输出信号,并设计合适的接口电路进行连接。
5.进行测试和调试:将设计好的电路进行实物搭建,并通过信号发生器等设备产生输入信号进行测试和调试。
二进制十进制同步加法计数器的应用场景非常广泛。
例如,在数字电路和计算机体系结构中,计数器被广泛用于时序控制、频率分频等功能的实现。
1.同步4位二进制加法计数器_数字电子技术_[共3页]
第6章时序逻辑电路135 6.4 计数器6.4.1 计数器概述计数器是数字系统中最常用的时序电路之一。
它的基本功能是对时钟脉冲进行计数,以此为基础,能用于定时、分频等。
在与其他逻辑功能电路组合后,还可以产生脉冲序列、节拍脉冲,并具有数值运算等复杂功能。
计数器的种类繁多,分类方法也多种多样,主要有以下几种。
(1)按触发器触发时间分类触发器是构成计数器的基本单元,一个计数器至少应包含两个以上的触发器。
按照触发器的触发时间可将计数器分为同步方式和异步方式两种。
对于同步计数器,所有触发器的时钟端并联到一起,因此它们同时触发翻转;对于异步计数器,触发器的时钟端信号来源不同,因此它们的触发不是同时发生的,而是有先后之分。
(2)按计数值的增减方式分类计数器的基本逻辑功能是对输入的时钟脉冲个数进行计数。
按计数时的数字增减方式可以分为加法计数器、减法计数器和可逆计数器(或称加/减计数器)。
加法计数器对输入脉冲数量进行递增计数,而减法计数器则进行递减计数,既能递增计数又能递减计数的称为可逆计数器。
可逆计数器通常设置有控制方式信号端,以进行加/减工作方式的选择。
(3)按计数值的编码方式分类计数器的用途不同,其采用的编码方式也不尽相同。
最常用的是二进制编码方式,其他的如采用BCD编码的二-十进制计数器等。
(4)按计数器容量分类计数器按计数容量可分为三大类:(n位)二进制计数器、十进制计数器和N进制计数器。
计数器的最大计数容量取决于包含的触发器个数。
如果一个计数器包含n个触发器,则理论上最大计数容量为2n,按2n容量工作的计数器统称为(n位)二进制计数器。
例如,最大计数容量为16时,称为4位二进制计数器,也可简称为十六进制计数器。
实际上,通过修改某种计数器的内部或外部电路,可以让计数器不按照最大计数容量工作。
最具代表性且最常用的就是十进制计数器,其内部也要包含4个触发器。
除了二进制和十进制以外,其他统称N进制计数器,它可在前两种计数器的基础上实现。
4位同步二进制加法计数器计数最大值
4位同步二进制加法计数器是一种常见的数字电路,用于实现二进制计数。
它可以将二进制数字表示为电信号,并且在每次输入脉冲时进行递增。
下面将详细介绍4位同步二进制加法计数器及其计数的最大值。
一、4位同步二进制加法计数器的原理1. 4位同步二进制加法计数器由4个触发器组成,每个触发器对应一个二进制位。
当输入一个脉冲时,每个触发器根据前一位的状态以及输入脉冲的信号进行状态转换。
这样就实现了二进制数的递增。
2. 触发器之间通过门电路连接,用于控制触发器状态的变化。
这些门电路可以根据具体的设计选择不同的逻辑门,常见的有AND门、OR 门、NOT门等。
3. 4位同步二进制加法计数器是同步计数器,即所有触发器同时接收输入脉冲,确保计数的同步性。
二、4位同步二进制加法计数器的计数最大值1. 4位二进制数的表示范围是0~15,因此4位同步二进制加法计数器的计数最大值为15。
2. 在计数到15后,再输入一个脉冲,计数器将重新从0开始计数,即实现了循环计数。
三、4位同步二进制加法计数器的应用1. 4位同步二进制加法计数器常用于数字电子钟、信号发生器等数字电路中,用于实现计数和定时功能。
2. 它还可以作为其他数字电路的组成部分,用于构建更复杂的逻辑功能。
3. 在数字系统中,计数器是十分重要的组件,它能够实现数字信号的计数和控制,广泛应用于各种数字系统中。
4位同步二进制加法计数器是一种重要的数字电路,通过它可以实现对二进制数的递增计数。
其计数的最大值为15,应用领域广泛。
希望本文内容能够对读者有所启发。
四、4位同步二进制加法计数器的工作原理4位同步二进制加法计数器是一种晶体管数字集成电路,它利用触发器和逻辑门等基本元件构成,能够实现二进制数字的加法计数。
在4位同步二进制加法计数器中,每个触发器代表一个二进制位,通过输入脉冲的控制,能够实现对二进制数的递增计数。
具体来说,当输入一个脉冲信号时,4位同步二进制加法计数器会根据触发器之间的连线和逻辑门的作用,根据之前的状态和输入脉冲的信号进行状态转换,从而实现二进制数的递增。
思考题5.1如何设计二进制同步加法计数器?5.2如何设计多功能移位...
思考题5.1 如何设计二进制同步加法计数器?5.2 如何设计多功能移位寄存器?5.3 如何用74194实现数据的串行-并行或并行-串行转换?5.4 利用移位寄存器和一位全加器,如何实现累加器功能?5.5 实现任意进制计数器的反馈复位法有什么缺点?5.6 同步时序电路分析的主要步骤是什么?5.7 同步时序电路设计的主要步骤是什么?5.8 什么是移位型序列信号发生器?习题5.1 图P5.1为由JK触发器组成的移位寄存器。
⑴假定要串行输入数码101,说明其工作过程,画出波形图(输入波形应与CP脉冲同步),说明这时并行输入控制信号时高电平还是低电平。
⑵假定要并行输入数码A=0,B=1,C=0,说明工作过程。
A B C图P5.1图 P5.1解:(1) 串行输入时,并行输入控制信号为“0”,在串行输入端依次加入1→0→1,在CP 脉冲作用下作右移操作.(同步工作,图略)(2) 并行输入时,并行输入控制信号为“1”,当ABC加010时,Q0Q1Q2立即被置为010.(异步工作)5.2试用维持阻塞结构D 触发器、与非门和一个2线—4线译码器设计一个四位移位寄存器,移位寄存器的功能表如图P5.2所示。
解:以i 单元示意(左侧为i-1单元,右侧为i+1单元D i S B S A5.3 参照串行累加器示意图(见图 5.12),用两片移位寄存器74194、一个全加器、一个D触发器及少量逻辑门,设计一个四位累加器,画出逻辑图。
5.4 试用四个维持阻塞结构JK 触发器组成一个四位二进制异步减法计数器。
解:用JK 触发器的CP 下降沿触发,构成的异步二进制减法计数器的i CP 接1i Q5.5 试分析图P5.5所示计数器,画出状态图,说明计数器的模。
CP解: 0011→0100→0101→0110→0111→1000→1001→0011 (模7计数器).5.6 试分析图P5.6所示电路的功能,画出在CP 作用下c f 的波形。
4位同步二进制加法计数器
4位同步⼆进制加法计数器4位同步⼆进制加法计数器⼀、实验⽬的1、熟悉在EDA平台上进⾏数字电路集成设计的整个流程。
2、掌握Max+PlusⅡ软件环境下简单的图形、VHDL⽂本等输⼊设计⽅法。
3、熟悉VHDL设计实体的基本结构、语⾔要素、设计流程等。
4、掌握利⽤Max+PlusⅡ的波形仿真⼯具验证设计的过程。
5、学习使⽤JTAG接⼝下载逻辑电路到可编程芯⽚,并能调试到芯⽚正常⼯作为⽌。
⼆、实验设备1.软件操作系统:Windows 2000EDA软件:MAX+plus II 10.22.硬件EDA实验箱:⾰新EDAPRO/240H三、实验原理1.设计分析4位同步⼆进制加法计数器的⼯作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0。
在clr复位信号⽆效(即此时⾼电平有效)的前提下,当clk的上升沿到来时,如果计数器原态是15,计数器回到0态,否则计数器的状态将加1.2.VHDL源程序library ieee;use ieee.std_logic_1164.all;entity cnt4e isport(clk,clr:in std_logic;cout:out std_logic;q:buffer integer range 0 to 15);end cnt4e;architecture one of cnt4e isbeginprocess(clk,clr)beginif clk'event and clk='1'thenif clr='1'thenif q=15 then q<=0;cout<='0';elsif q=14 then q<=q+1;cout<='1';else q<=q+1;end if;else q<=0;cout<='0';end if;end if;end process;end one;四、实验步骤1: VHDL⽂本编辑在MAX+PLUS集成环境下,执⾏“file”->“new”命令,弹出编辑⽂件类型的对话框,选择”text editor file”后单击“ok”按钮。
三位二进制同步加法计数器设计
目录1 数字电子设计部分 (1)1.1课程设计的目的 (1)1.2设计的总体框图 (1)1.3设计过程 (1)1.4设计的逻辑电路图 (7)1.6实验仪器 (10)1.7实验结论 (10)1.8参考文献 (11)2 模拟电子设计部分 (11)2.1 课程设计的目的与作用: (11)2.2 设计任务、及所用multisim软件环境介绍 (11)2.3 差分放大电路 (12)2.3.1长尾式差分放大电路 (12)2.3.2 恒流源式差分放大电路 (16)2.4 反馈 (21)2.4.1电压并联负反馈 (21)2.4.2电压串联正反馈 (23)2.5 电压比较器 (24)2.5.1单限比较器 (24)2.5.2滞回比较器 (26)2.5.3双限比较器 (28)2.6 设计总结和体会 (30)2.7 参考文献 (31)1 数字电子设计部分1.1课程设计的目的1、加深对教材的理解和思考,并通过设计、验证证实理论的正确性。
2、学习自行设计一定难度并有用途的的计数器、加法器、寄存器等。
3、检测自己的数字电子技术的掌握程度。
1.2设计的总体框图①下图为三位二进制同步加法器示意框图:②下图为三位二进制同步加法器示意框图:1.3设计过程1、三位二进制同步加法计数器(无效态为010、011)(设输出为进位数)。
①根据题意可以确定出3位二进制加法器的状态图:000/0−−→001/0−−→100/0−−→101/0−−→110/0−−→ 111/1排列:nnn210Q Q Q3位二进制加法计数器的状态图下图为三位二进制同步加法计数器(无效态为010、011)的时序图:②选择触发器,求时钟方程。
选择触发器:由于JK 触发器功能齐全、使用灵活,故选用3个时钟下降触发的边沿JK 触发器。
求时钟方程:由于要求构成的是同步计数器,显然各个触发器的时钟信号都应使用输入脉冲,即012CP CPCP CP === ③求输出方程和状态方程:卡诺图如下:ⅰ、下图为3位二进制同步加法器的次态和输出卡诺图:ⅱ、下图为3位二进制同步加法器的输出的卡诺图:ⅲ、下图为3位二进制同步加法器的次态n+12Q 的卡诺图:ⅳ、下图为3位二进制同步加法器的次态n+11Q 的卡诺图:ⅴ、下图为3位二进制同步加法器的次态n+10Q 的卡诺图:根据输出卡诺图和各个触发器的次态卡诺图,可直接写出输出方程和下列状态方程:nY Q=1nQ CP↓n+1n n n n n n n n20210202102()n nQ Q Q Q Q Q Q Q Q Q Q=++=+n+1n n n n120101nQ Q Q Q Q Q=+n+1n00Q Q=④求驱动方程JK触发器的特性方程为:1n n nQ JQ KQ+=+CP↓直接对照现态的系数,写出驱动方程的:n20n n210J QK Q Q==n n120n10J Q QK Q==11JK==⑤检查电路是否能够自启动将无效态010、011代入状态方程中进行计算,结果如下:010/0−−→011/0−−→100(有效态)故而能够自启动。
数电课设三位二进制同步加法计数器序列信号发生器串行序列检测器电路设计方案
第一部分数字电子课程设计成绩评定表课程设计任务书目录1 课程设计的目的与作用 (1)1.1设计目的及设计思想 (1)1.2设计的作用 (1)1.3 设计的任务 (1)2 所用multisim软件环境介绍 (1)3 三位二进制同步加法计数器设计 (3)3.1 基本原理 (3)3.2 设计过程 (3)4序列信号发生器的设计 (6)4.1 基本原理 (6)4.2 设计过程 (6)5串行序列检测器电路设计 (7)5.1 基本原理 (7)5.2 设计过程 (8)6 仿真结果分析 (11)6.1 三位二进制同步加法计数器仿真 (11)6.2 序列信号发生器(发生序列100101)的仿真 (14)6.3 0110串行序列检测器电路设计 (17)7 设计总结和体会 (23)8 参考文献 (23)1 课程设计的目的与作用1.1设计目的及设计思想根据设计要求设计三位二进制加法计数器和序列信号发生器,加强对数字电子技术的理解,进一步巩固课堂上学到的理论知识。
了解计数器和序列信号发生器的工作原理。
1.2设计作用通过multisim软件仿真电路可以使我们对计数器和序列信号发生器有更深的理解。
学会分析仿真结果的正确性,与理论计算值进行比较。
通过课程设计,加强动手,动脑的能力。
1.3设计任务1.设计一个三位二进制同步加法计数器,要求无效状态为001,110。
2.设计一个序列信号发生器,要求发生序列100101。
2 所用multisim软件环境介绍multisim软件环境介绍Multisim是加拿大IIT公司(Interrative Image Technologies Ltd)推出的基于Windows的电路仿真软件,由于采用交互式的界面,比较直观、操作方便,具有丰富的元器件库和品种繁多的虚拟仪器,以及强大的分析功能等特点,因而得到了广泛的引用。
针对不同的用户,提供了多种版本,例如学生版、教育版、个人版、专业版和超级专业版。
其中教育版适合高校的教学使用。
二进制计数器
2019/11/20
(a) 电路图 (b)时序图
12
2.异步二进制减法计数器
必须满足二进制数的减法运算规则:0-1不够减, 应向相邻高位借位,即10-1=1。
组成二进制减法计数器时,各触发器应当满足: ① 每输入一个计数脉冲,触发器应当翻转一次 (即用T′触发器); ② 当低位触发器由0变为1时,应输出一个借位信 号加到相邻高位触发器的计数输入端。
2019/11/20
13
(1)JK触发器组成的3位异步二进制减法计数器 (用CP脉冲下降沿触发)。
仿真
图5-16 3位异步二进制减法计数器
2019/11/20
(a)逻辑图 ( b)时序图
14
表5-6 3位二进制减法计数器状态表
CP顺序 0 1 2 3 4 5 6 7 8
Q2 Q1 Q0 000 111 110 101 100 011 010 001 000
8
1000
9
1001
10
1010
11
1011
12
1100
13
1101
14
1110
15
1111
16
0000
22
图5-19 4位同步二进制加法计数器的时序图
2019/11/20
23
仿真
图5-20 T40位=同J0步=K二0=进1制加法计数器 T1=J1=K1= Q0
T2=J2=K2= Q1Q0
2019/11/20
器翻转,计数减1。
2019/11/20
25
(2)触发器的翻转条件是:当低位触发器的Q端 全1时再减1,则低位向高位借位。
10-1=1 100-1=11 1000-1=111 10000-1=1111
二进制计数器的原理
二进制计数器的原理1.二进制异步加计数器的原理原理分析:假设各触发器均处于0态,根据电路结构特点以及D 触发器工作特性,不难得到其状态图和时序图,它们分别如图8.4.2和图8.4.3所示。
其中虚线是考虑触发器的传输延迟时间tpd后的波形。
由状态图可以清楚地看到,从初始状态000(由清零脉冲所置)开始,每输入一个计数脉冲,计数器的状态按二进制递增(加1),输入第8个计数脉冲后,计数器又回到000状态。
因此它是23进制加计数器,也称模八(M=8)加计数器。
从时序图可以清楚地看到Q0,Q1,Q2的周期分别是计数脉冲(CP)周期的2倍,4倍、8倍,也就是说Q0,Q1,Q2,分别对CP波形进行了二分频,四分频,八分频,因而计数器也可作为分频器。
需要说明的是,由图8.4.3中的虚线波形可知,在考虑各触发器的传输延迟时间tpd时,对于一个n 位的二进制异步计数器来说,从一个计数脉冲(设为上升沿起作用)到来,到n 个触发器都翻转稳定,需要经历的最长时间是ntpd ,为保证计数器的状态能正确反应计数脉冲的个数,下一个计数脉冲(上升沿)必须在ntpd 后到来,因此计数脉冲的最小周期Tmin=ntpd 。
2.二进制异步减计数器的原理原理分析:图8.4.4是3位二进制异步减计数器的逻辑图和状态图。
从初态000开始,在第一个计数脉冲作用后,触发器FF0由0翻转为1(Q0的借位信号),此上升沿使FF1也由0翻转为1(Q1的借位信号),这个上升沿又使FF2 由0翻转为1,即计数器由000变成了111状态。
在这一过程中,Q0向Q1进行了借位,Q1向Q2进行了借位。
此后,每输入1个计数脉冲,计数器的状态按二进制递减(减1)。
输入第8个计数脉冲后,计数器又回到000状态,完成一次循环。
因此,该计数器是23进制(模8)异步减计数器,它同样具有分频作用。
3.二进制同步加计数器,同步减计数器的原理二进制同步加计数器的原理分析:图8.4.5是用JK触发器(但已令J=K)组成的4位二进制(M=16)同步加计数器。
二进制加法计数器74ls163
ENP ENT
74LS163
LD
1
CLK
RCO
D C B A CLR
1 图4 74ls163逻辑符号图
3. 74LS163逻辑功能
同同保保
步 清
步 置
持 功
持 功
功
能
表
零 数 能 能输入
输出
CLR LD ENP ENT CLK D C B A QDQCQBQA
x
0
D3 D2 D1 D0 0 0 0 0 0
3. 74LS163逻辑功能
同同保保
步 清
步 置
持 功
持 功
功
能
表
计数功能
零 数 能 能输入
输出
CLR LD ENP ENT CLK D C B A QDQCQBQA
1
0
D3 D2 D1 D0 0 0 0 0 1
10
D3 D2 D1 D0 D3 D2 D1 D0
110
D3 D2 D1 D0 Q D Q C Q B Q A
110
D3 D2 D1 D0 Q D Q C Q B Q A
1111
D3 D2 D1 D0 01 01 01 01
^
01 01 01 01
计数功能
QD QC QB QA
ENP
1
LD
ENT 74LS163
CLK D
RCO
1
C B A CLR
1 图4 74ls163逻辑符号图
数字电子技术
^
0000
QD ENP ENT CLK
QC QB QA
74LS163
LD RCO
D C B A CLR
同
74LS161
图2 集成计数器的级联 (2)用反馈清零法获得任意进制计数器 由于集成计数器一般都设置有清零端和置数端,而且无论是 清零还是置数都有同步和异步之分。例如,4 位二进制同步加法计 数器74LS163的清零和置数均采用同步方式,而有的只有异步清 零功能。获得任意进 制计数器的方法很多,本书只介绍用反馈清 零法获得任意进制计数器。 如用74LS16l构成九进制加法计数器,九进制计数器(N=9) 有9个状态,而74LS161在计数过程中有16个状态(M=[6), 正常循环从0000到1111,要构成九进制加法计数器,此时必须设 法 跳过M-N(16 - 9=7)个状态。74LS161具有异步清零功能, 在其计数过程当中,不管它的输出处于哪 一状态,只要在异步清 零输人端加一低电平电压,使CR=0,74LS161的输出会立即从 那个状态回到0000状 态。清零信号(CR=0)消失后,74LS161 又从0000状态开始重新计数。 如图3(a)所示的九进制计数器,就是借助74LS161的异步 清零功能实现的。如图3(b)所示电路是九进 制计数器的主循环 状态图。
பைடு நூலகம்
计数器的种类很多,按其进制不同分为二进制计数器、十 进制计数器、N进制计数器;按触发器翻转是否 同步分为 异步计数器和同步计数器;按计数时是增还是减分为加法 计数器、减法计数器和加/减法(可逆 )计数器。下面首 先介绍二进制计数器。 1.集成二进制计数器74LS161 74LS161是4位二进制同步加法计数器,除了有二进 制加法计数功能外,还具有异步清零、同步并行置数 、 保持等功能。74LS161的逻辑电路图和引脚排列图如图1 所示,CR是异步清零端,LD是预置数控制端,D0 ,D1, D2,D3是预置数据输人端,P和T是计数使能端,C是进 位输出端,它的设置为多片集成计数器的级 联提供了方 便。 74LS161的功能表如表1所示。由表可知,74LS161 具有以下功能。
三位二进制同步加法计数器的设计
1 三位二进制同步加法计数器的设计(000,111)1.1课程设计的目的:1、了解同步加法计数器工作原理和逻辑功能。
2、掌握计数器电路的分析,设计方法及应用。
3、学会正确使用JK 触发器。
1.2设计的总体框图:C图1.1六进制加法器1.3设计过程:1 状态图:图1.2六进制加法状态图2 时序图:CP : Q 2:Q1:Q 0: Y :图1.3六进制加法的波形图3选择的触发器名称:选用三个CP 下降沿触发的边沿JK 触发器74LS112 输出方程:图1.4输出Y 的卡诺图Y=Q 2n Q 1n4图1.5六进制同步加法计数器的次态卡诺图5各个触发器次态的卡诺图Q1 n Q0n Q 2n00 01 11 10 0 1图1.6Q 2n+1的卡诺图Q 1n Q 0n Q 2n00 01 11 10 0 1图1.7 Q 1n+1的卡诺图Q1n Q 0n Q 2n00 01 11 10 0 16由卡诺图得出状态方程为:Q 2n+1=Q 1n Q 2n + Q 1n Q 0n Q 2nQ 1n+1=Q 0n Q 1n + Q 2n Q 0n Q 1nQ 0n+1=Q 0n(1) 驱动方程:2J = Q 1n Q 0n 1J = Q 0n 0J =12K = Q 1n 1K = Q 2n Q 0n 0K =17.检查能否自启动:/0 /1111 000 001 (有效状态)图1.8检测能否自启动1.4设计的逻辑电路图:图1.9六进制加法计数器的电路图1.5设计的电路原理图:图1.10六进制加法计数器的原理图1.6实验仪器:(1)数字原理实验系统一台(2)集成电路芯片:74LS08一片74LS00一片74LS112三片1.7实验结论:经过实验可知,满足时序图的变化,且可以进行自启动。
实验过程中很顺利,没有出现问题。
0/02 串行序列信号检测器的设计(检测序列0111)2.1课程设计的目的:1、了解串行序列信号检测器的工作原理和逻辑功能2、掌握串行序列信号检测器电路的分析,设计方法及应用。
三个d触发器构成模8的同步二进制加法计数器
三个d触发器构成模8的同步二进制加法计数器
讲述其基本原理
模8的同步二进制加法计数器是一种工业标准计数器,用于记录系统脉冲计数,用于控制许多仪器,从而实现其所需的功能。
它由3个D触发器(或门)构成,它们的标准逻辑表达式为D0,D1和D2,它们分别处理输入的脉冲以产生8个不同的计数状态。
每个输入脉冲,都会导致计数器加一,一直到8,然后重新开始计数。
计数器的3个D触发器的工作模式是这样的:当计数器状态更改时,D0触发器会接收一个输入脉冲,并产生一个输出脉冲。
当D1触发器收到上述脉冲时,它会产生一个反向脉冲,当D2触发器收到反向脉冲时,它会将计数器的状态重置为零。
为了不让脉冲指令传播到每个触发器之外的任何地方,可以在计数器的回路中添加一些其他门,以实现同步功能。
由于模8的同步二进制加法计数器由3个D触发器构成,它可以计数至8,它有一个明显的优势:它具有易扩展性,可以通过添加更多的门扩展计数器的范围。
因此,模8的同步二进制加法计数器在很多领域中都有应用,从而满足大量的用例场景。
计数器的原理
计数器的原理计数器是数字电路中广泛使用的逻辑部件,是时序逻辑电路中最重要的逻辑部件之一。
计数器除用于对输入脉冲的个数进行计数外,还可以用于分频、定时、产生节拍脉冲等。
计数器按计数脉冲的作用方式分类,有同步计数器和异步计数器;按功能分类,有加法计数器、减法计数器和既具有加法又有减法的可逆计数器;按计数进制的不同,又可分为二进制计数器、十进制计数器和任意进制计数器。
一、计数器的工作原理1、二进制计数器(1)异步二进制加法计数器图1所示为用JK触发器组成的4位异步二进制加法计数器逻辑图。
图中4个触发器F0~F3均处于计数工作状态。
计数脉冲从最低位触发器F0的CP端输入,每输入一个计数脉冲,F0的状态改变一次。
低位触发器的Q端与高位触发器的CP端相连。
每当低位触发器的状态由1变0时,即输出一负跳变脉冲时,高位触发器翻转。
各触发器置0端R D并联,作为清0端,清0后,使触发器初态为0000。
当第一个计数脉冲输入后,脉冲后沿使F0的Q0由0变1,F1、F2、F3均保持0态,计数器的状态为0001;当图1 4位异步二进制加法计数器第二个计数脉冲输入后,Q0由1变为0,但Q0的这个负跳变加至F1的CP端,使Q1由0变为1,而此时F3、F2仍保持0状态,计数器的状态为0010。
依此类推,对于F0来说,每来一个计数脉冲后沿,Q0的状态就改变,而对于F1、F2、F3来说,则要看前一位输出端Q 是否从1跳到0,即后沿到来时,其输出端的状态才改变,否则Q1、Q2、Q3端的状态同前一个状态一样。
这样在第15个计数脉冲输入后,计数器的状态为1111,第16个计数脉冲输入,计数器恢复为0000。
由上述分析可知,一个4位二进制加法计数器有24=16种状态,每经过十六个计数脉冲,计数器的状态就循环一次。
通常把计数器的状态数称之为计数器的进制数(或称计数器的模),因此,4位二进制计数器也可称之为1位十六进制(模16)计数器。
表1所示为4位二进制加法计数器的状态表。
二进制计数器
图5-17 4位二进制同步加法计数器74LS161的逻辑符号
如表5-6所示为74LS161的功能表。
清零 RD 0 1 1 1 1
预置 LD × 0 1 1 1
使能
EP ET ××
××
0× ×0
1
1
表5-6 74LS161的功能表
J1 J2
K1 K2
Q0 Q0Q1
J3 K3 Q0Q1Q2
由于该电路的驱动方程规律性较强,只需用“观察法”就 可画出时序波形图或状态转换表(参见表5-4)。
表5-4 4位二进制同步加法计数器的状态转换表
计数脉冲序号 0 1 2 3 4 5 6
电路状态 Q3Q2Q1Q0 0000 0001 0010 0011 0100 0101 0110
如图5-11所示为JK触发器组成的4位异步二进制加法计数器的 电路结构。
图5-11 4位异步二进制加法计数器的电路结构
图5-11中,将JK触发器连接成T触发器(即 J K 1 )的 形式,最低位触发器 FF0的时钟脉冲输入端接计数脉冲CP,其 他触发器的时钟脉冲输入端接相邻低位触发器的Q端。
由于该电路的连线简单且规律性强,因此无须用前面介绍的 分析步骤进行分析,只需进行简单的观察与分析就可画出时序波 形图和状态转换图,这种分析方法称为“观察法”。
2)二进制同步减法计数器
如表5-5所示为4位二进制同步减法计数器的状态转换表。
计数脉冲序号
电路状态 Q3Q2Q1Q0
等效十进制数
0
0000
0
1
1111
15
2
1110
14
3
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
同步二进制加法计数器
F0302011 5030209303 刘冉
计数器是用来累计时钟脉冲(CP脉冲)个数的时序逻辑部件。
它是数字系统中用途最广泛的基本部件之一,几乎在各种数字系统中都有计数器。
它不仅可以计数,还可以对CP 脉冲分频,以及构成时间分配器或时序发生器,对数字系统进行定时、程序控制操作。
此外,还能用它执行数字运算。
1、计数器的特点:
在数字电路中,把记忆输入CP脉冲个数的操作叫做计数,能实现计数状态的电子电路称为计数器。
特点为(1)该电路一般为Moore型电路,输入端只有CP信号。
(2)从电路组成看,其主要组成单元是时钟触发器。
2、计数器分类
1) 按CP脉冲输入方式,计数器分为同步计数器和异步计数器两种。
同步计数器:计数脉冲引到所有触发器的时钟脉冲输入端,使应翻转的触发器在外接的CP脉冲作用下同时翻转。
异步计数器:计数脉冲并不引到所有触发器的时钟脉冲输入端,有的触发器的时钟脉冲输入端是其它触发器的输出,因此,触发器不是同时动作。
2) 按计数增减趋势,计数器分为加法计数器、减法计数器和可逆计数器三种。
加法计数器:计数器在CP脉冲作用下进行累加计数(每来一个CP脉冲,计数器加1)。
3) 按数制分为二进制计数器和非二进制计数器两类。
二进制计数器:按二进制规律计数。
最常用的有四位二进制计数器,计数范围从0000到1111。
异步加法的缺点是运算速度慢,但是其电路比较简单,因此对运算速度要求不高的设备中,仍不失为一种可取的全加器。
同步加法优点是速度快,虽然只比异步加法快千分之一甚至几千分之一秒,但对于计数器来讲,却是十分重要的。
所以在这个高科技现代社会中,同步二进制计数器应用十分广泛。
下图为三位二进制加法计数器的电路图。
图1 三位二进制计数器
图示电路为对时钟信号计数的三位二进制加法计数器或称为八进制加法计数器。
该电路的经典分析过程:
1.根据电路写出输出方程、驱动方程和状态方程
2. 求出状态图
3.检查电路能否自启动
4.文字叙述逻辑功能
解:
(1) 经典分析法
写出电路的驱动方程、输出方程及状态方程 驱动方程为
J 1=1 K 1=1
Q
n
J 1
2=
Q
n
K 1
2=
Q Q J n n 2
1
3=
Q Q K n n
2
1
3
= 输出方程为
n
n n Q Q Q Y 3
21=
将驱动方程代入JK 触发器的特性方程n n n Q K Q J Q +=+1中,就得到了电路的状态方
程。
Q
Q n
n 1
11=
+
Q
Q Q Q Q Q Q n n
n n
n n
n 2
1
2
1
2
1
1
2⊕=++=
Q
Q Q
Q
Q Q Q
n
n n
n
n n n 3
2
1
3
2
1
1
3
+=+
由状态方程、输出方程可求出电路的状态图
由状态图知,图1中所示电路是一个三位二进制加法计数器或称为八进制加法计数
器。
(2)计算机仿真分析 图2
将本例电路输入到MAX+plusⅡ中编译并仿真,可得波形如右图
由仿真波形可得出和经典分析法相同的结论。
图1所示电路由三个JK 触发器构成和两个与门构成,它在MAX+plus Ⅱ环境中仿真结果如图2。
从仿真图可看出图1所示电路为对时钟信号计数的三位二进制加法计数器或称为八
进制加法计数器。
可对比四位二进制计数器的电路图来理解用JK触发器构成同步二进制计数器的方法。
用JK触发器构成的四位二进制计数器的电路如下:
图3 同步四位二进制加法计数器
对比图3(四位二进制同步加法计数器)与图1(三位二进制同步加法计数器),二者电路联接方式相似,主要区别是四位二进制同步加法计数器较三位二进制同步加法计数器多一个触发器。
可在MAX+plusⅡ环境中打开该电路的仿真包,其仿真结果如图4。
由仿真结果知该电路为四位二进制加法计数器。
图4
虽然以上大部分内容是我从网上找到的,但是我通过阅读,学会了课本上所没有的知识。
如果说课本是基础,那么这些知识就是升华。