西安交通大学实验报告

合集下载

西安交通大学数电实验报告

西安交通大学数电实验报告

数电实验实验名称:数字钟设计班级:姓名:学号:一、实验简介数字钟设计的关键在于产生秒脉冲、对秒脉冲计数并产生分和小时以及动态显示时、分、秒信息。

二、实验要求1)在开发板上运行有关程序2)设计一个完整的数字钟,小时和分钟用数码管显示,秒用发光二极管闪烁显示,每秒显示一次。

如有可能,请增加校时功能。

三、实验内容1.工程文件代码:module DigitalClock(input clk,input clr,input [1:0]en,input [5:0]data,output regsecled,output reg [6:0]atg,output reg [3:0]an);reg [3:0]led1,led2,led3,led4; reg [16:0]clkdiv;reg [3:0]hour1;reg [3:0]hour2;reg [3:0]min1;reg [3:0]min2;reg [26:0]q1;reg [3:0]digit;reg [7:0]sec;reg [1:0]s;integer i;initial beginhour2=1;hour1=2;min2=1;min1=2;sec=0;led4=hour2;led3=hour1;led2=min2;led1=min1;secled=0;clkdiv=0;endalways @(*)beginan=4'b1111;s<=clkdiv[16:15];an[s]=0;case(s)0: digit<=led4;1: digit<=led3;2: digit<=led2;3: digit<=led1;default: digit<=led4;endcasecase(digit)0: atg = 7'b0000001;//01: atg = 7'b1001111;//12: atg = 7'b0010010;//23: atg = 7'b0000110;//34: atg = 7'b1001100;//45: atg = 7'b0100100;//56: atg = 7'b0100000;//67: atg = 7'b0001111;//78: atg = 7'b0000000;//89: atg = 7'b0000100;//9'hA: atg = 7'b0000001;//10-10 to be revised'hB: atg = 7'b1001111;//11-10'hC: atg = 7'b0010010;//12-10'hD: atg = 7'b0000110;//13-10'hE: atg = 7'b1001100;//14-10'hF: atg = 7'b0100100;//15-10default: atg = 7'b0000001;endcaseendalways @(posedgeclk)beginclkdiv<= clkdiv+1;end(时钟程序)always @(posedgeclk or posedgeclr)beginif(clr == 1)beginsec<=0;q1<=0;hour1<=0;hour2<=0;min1<=0;min2<=0;led4=0;led3=0;led2=0;led1=0;end(分钟调节模块)else if(en==2'b00)beginif(q1 == 50000000)beginq1<=0;secled=1;led4=hour2;led3=hour1;led2=min2;led1=min1;sec<=sec+1;if(sec == 59)beginsec<=0;min1<=min1+1;if(min1 == 9)beginmin1<=0;min2<=min2+1;endif(min1==9&&min2==5)beginmin1<=0;min2<=0;hour1<=hour1+1;if(hour1==9)beginhour1<=0;hour2<=hour2+1;endif(hour2==2&&hour1==3)beginhour1<=0;hour2<=0;endendendendelse if(q1==25000000)beginsecled=0;q1<=q1+1;endelseq1<=q1+1;end(小时调节模块)else if(en==2'b01)//change minbeginif (data<60)beginfor(i=0;i<6;i=i+1)beginif(data-i*10<10)beginmin1<=data-10*i;min2<=i;i=6;endendled4=hour2;led3=hour1;led2=min2;led1=min1;endendelse if(en==2'b10)//change hourbeginif(data<24)beginfor(i=0;i<3;i=i+1)beginif(data-i*10<10)beginhour1<=data-10*i;hour2<=i;i=3;endendendled4=hour2;led3=hour1;led2=min2;led1=min1;endendendmodule2.约束文件代码:NET "atg[0]" LOC = M12;NET "atg[1]" LOC = L13;NET "atg[2]" LOC = P12;NET "atg[3]" LOC = N11;NET "atg[4]" LOC = N14;NET "atg[5]" LOC = H12;NET "atg[6]" LOC = L14;NET "an[0]" LOC = K14;NET "an[1]" LOC = M13;NET "an[2]" LOC = J12;NET "an[3]" LOC = F12;NET "clk" LOC = B8;NET "clr" LOC = G12;NET "secled" LOC = M5;NET "en[1]" LOC = N3;NET "en[0]" LOC = E2;NET "data[5]" LOC = F3;NET "data[4]" LOC = G3;NET "data[3]" LOC = B4;NET "data[2]" LOC = K3;NET "data[1]" LOC = L3;NET "data[0]" LOC = P11;四、实验心得体会相比之前的几次上机实验,这次的实验代码花的时间要多一些,不过这次实验同样成就感也要高一些,毕竟数字钟和生活关联比较大,这让我初步了解了Verilog语音和生活中我们所用的一些电子器件的联系。

西安交通大学仿真实验报告

西安交通大学仿真实验报告

西安交通大学大学物理仿真实验实验报告实验名称:碰撞和动量守恒系别:实验日期姓名:学号:一、实验简介动量守恒定律和能量守恒定律在物理学中占有非常重要的地位。

力学中的运动定理和守恒定律最初是冲牛顿定律导出来的,在现代物理学所研究的领域中存在很多牛顿定律不适用的情况,例如高速运动物体或微观领域中粒子的运动规律和相互作用等,但是能量守恒定律仍然有效。

因此,能量守恒定律成为了比牛顿定律更为普遍适用的定律。

二、实验目的1.利用气垫导轨研究一维碰撞的三种情况,验证动量守恒和能量守恒定律;2.通过实验提高误差分析的能力。

三实验内容1.研究三种碰撞状态下的守恒定律(1)取两滑块m1、m2,且m1>m2,用物理天平称m1、m2的质量(包括挡光片)。

将两滑块分别装上弹簧钢圈,滑块m2置于两光电门之间(两光电门距离不可太远),使其静止,用m1碰m2,分别记下m1通过第一个光电门的时间Δt10和经过第二个光电门的时间Δt1,以及m2通过第二个光电门的时间Δt2,重复五次,记录所测数据,数据表格自拟,计算、。

(2)分别在两滑块上换上尼龙搭扣,重复上述测量和计算。

(3)分别在两滑块上换上金属碰撞器,重复上述测量和计算。

2.验证机械能守恒定律(1)a=0时,测量m 、m ’、m e 、s 、v 1、v 2,计算势能增量mgs 和动能增量,重复五次测量,数据表格自拟。

(2)时,(即将导轨一端垫起一固定高度h , ),重复以上测量。

四、实验原理如果一个力学系统所受合外力为零或在某方向上的合外力为零,则该力学系统总动量守恒或在某方向上守恒,即恒量=∑i i v m (1)。

实验中用两个质量分别为m1、m2的滑块来碰撞,若忽略气流阻力,根据动量守恒有2211202101v m v m v m v m +=+(2)对于完全弹性碰撞,要求两个滑行器的碰撞面有用弹性良好的弹簧组成的缓冲器,我们可用钢圈作完全弹性碰撞器;对于完全非弹性碰撞,碰撞面可用尼龙搭扣、橡皮泥或油灰;一般非弹性碰撞用一般金属如合金、铁等,无论哪种碰撞面,必须保证是对心碰撞。

西安交通大学材料力学性能实验报告-疲劳裂纹扩展速率

西安交通大学材料力学性能实验报告-疲劳裂纹扩展速率

实验报告七姓名班级学号成绩实验名称疲劳裂纹扩展速率实验实验目的了解疲劳裂纹扩展速率测定的一般方法和数据处理过程,增加对断裂力学用于研究疲劳裂纹扩展过程的主要作用和认识。

实验设备高频疲劳试验机一台、工具读数显微镜一台、千分尺一把、三点弯曲试样一件试样示意图三点弯曲试样示意图实验原始数据记录1.实验原始记录表一疲劳裂纹扩展速率数据记录应力比R=0.1,P max=5000Na(mm) N/*105a(mm) N/*105a(mm) N/*1053.16 0 7.49 8.461 11.67 11.433.61 1.477 7.89 8.875 12.09 11.604.02 2.328 8.29 9.240 12.52 11.764.47 3.598 8.71 9.580 13.00 11.944.86 4.393 9.15 9.896 13.46 12.075.30 5.356 9.56 10.25 13.96 12.205.726.168 9.96 10.50 14.41 12.306.17 6.813 10.41 10.79 14.95 12.396.617.584 10.81 10.98 15.37 12.477.08 8.072 11.21 11.19根据表一数据,通过软件可画出a(mm)—N/*105曲线,曲线如下:a(mm)—N/周次关系曲线从上图数据可利用割线法得到曲线的斜率da/dN,通常是链接相邻两个数据点的直线斜率:(da/dN)i =(ai+1-ai)/(Ni+1-Ni)由于计算的da/dN是增量(ai+1-ai)的平均速率,故平均裂纹长度(ai+1-ai)/2可用来计算ΔK值。

对三点弯曲试样(跨距S取4W):△K=[][1.99-式中α=a/W。

表二疲劳裂纹扩展数据计算值序号da/dN(m/周次)log(da/dN) △K Log(△K)1 3.05E-09 -8.5162 8.8310 0.94602 4.82E-09 -8.3171 9.3371 0.97023 3.54E-09 -8.4506 9.8329 0.99274 4.91E-09 -8.3093 10.3142 1.01345 4.57E-09 -8.3402 10.7927 1.03316 5.17E-09 -8.2863 11.2964 1.05297 6.98E-09 -8.1563 11.8188 1.07268 5.71E-09 -8.2436 12.3710 1.09249 9.63E-09 -8.0163 12.9587 1.112610 1.05E-08 -7.9772 13.5533 1.132011 9.66E-09 -8.0149 14.1270 1.150112 1.10E-08 -7.9602 14.7216 1.168013 1.24E-08 -7.9082 15.3633 1.186514 1.39E-08 -7.8562 16.0751 1.206215 1.16E-08 -7.9362 16.8222 1.225916 1.60E-08 -7.7959 17.5786 1.245017 1.55E-08 -7.8092 18.4240 1.265418 2.11E-08 -7.6767 19.3281 1.286219 1.90E-08 -7.7202 20.2383 1.306220 1.92E-08 -7.7175 21.2881 1.328121 2.47E-08 -7.6072 22.4475 1.351222 2.69E-08 -7.5707 23.6592 1.374023 2.67E-08 -7.5740 25.0691 1.399124 3.54E-08 -7.4512 26.6643 1.425925 3.85E-08 -7.4150 28.4606 1.454226 4.50E-08 -7.3468 30.4304 1.483327 6.00E-08 -7.2218 32.7203 1.514828 5.25E-08 -7.2798 35.2127 1.5467 根据上表中的log(da/dN)-log(△K)关系再作出曲线,如下:Log(△K)- log(da/dN)关系曲线根据Paris公式。

西安交通大学电子线路实验实验报告

西安交通大学电子线路实验实验报告

dat1=dat1+0.1;//步进用阶梯状曲线拟合斜线 tlc5615(400+400*sin(dat1)); Delay_us(5); Key_Init(); key=0xff; key=GetKey(); if(key!=0xff) break;} break; default:break;}}} 实验三: #include <SST89x5x4.H> #include <INTRINS.H> #include <math.h> #define uchar unsigned char #define uint unsigned int Unsigned char code table[10]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f};//显示段码值 0~9 #include "keyboard.h" #include "pcf.h"//操作总线 #include "LCDshow.h" #include "show.h"
else flag=1;} if(flag==1) {if(dat>0) {dat=dat-20; else flag=0; tlc5615(dat); } Key_Init(); key=0xff; key=GetKey(); if(key!=0xff) break;} break; case 0x04://截断三角波上三角 while(1) {if(flag==0) {if(dat<1020) {dat=dat+20;//步进用阶梯状曲线拟合斜线 if(dat<=800) tlc5615(dat);

西安交通大学物理实验报告

西安交通大学物理实验报告

西安交通大学物理仿真实验实验报告气垫导轨上的直线运动实验的目的:利用气垫技术精确的测定物体的平均速度、瞬时速度、加速度以当地的重力加速度,通过物体沿斜面自由下滑运动来研究匀变速运动的规律和验证牛顿第二定律。

实验原理:1 .平均速度和瞬时速度的测量做直线运动的物体在时间内的位移为,则物体在时间内的平均速度为 ts v ∆∆= (1) 当时,平均速度趋近于一个极限,即物体在该点的瞬时速度。

我们用来表示瞬时速度t s v t ∆∆=→∆0limt(2)实验上直接用上式测量某点的瞬时速度是很困难的,一般在一定误差范围内,用极短的内的平均速度代替瞬时速度。

2 .匀速直线运动若滑块受一恒力,它将做匀变速直线运动,可采用在导轨一端加一滑轮,通过滑轮旋一重物在滑块上,也可以把气垫导轨一端垫高成一斜面来实现。

采用前者可改变外力,不但可测得加速度,还可以验证牛顿第二定律。

采用后者,因在测量过程中受外界干扰较小,测量误差较小,在测量加速度的基础上,还可以测量当地的重力加速度。

匀变速运动方程如下:at v v +=0 (3)2021at t v s +=(4)as v v 2202+=(5)在斜面上物体从同一位置由静止开始下滑,若测得不同位置处的速度......,,321v v v 为相应的时间......,,321t t t ,以t 为横坐标,为v 纵坐标作图,如果图线是一条直线,证明物体作匀加速直线运动,图线的斜率为加速度a, 截距为t v 。

同样把......,,321v v v 对应处的测出,作t t s -图和s v -2图,若图线是直线,则物体作匀加速直线运动,斜率分别为a 21和a 2,截距分别为a v 和20v 。

3. 重力加速度的测定如图1所时,h 为垫块的高度,L 为斜面长,滑块沿斜面下滑的加速度为L hg g a ==θsin (6)L h a g = (7)4. 验证牛顿第二定律设运动物体的总质量为 M ,作用力为 F ,假设其他耗散力如摩擦力、空气阻力、气垫粘滞力可忽略不计,这时牛顿第二定律可表示为Ma F = (8)F 不变,改变 M, F/a应为一常量,即F增大,a同时增大;若保持MaF减小,a同时减小。

西安交通大学计算机组成原理实验报告

西安交通大学计算机组成原理实验报告

西安交通大学计算机组成原理实验报告姓名:***班级:物联网**学号:实验一存储器的访问与实现一、实验目的1、理解计算机主存储器的分类及作用;2、掌握ROM、RAM的读写方法。

二、实验原理存储器按存取方式分,可分为随机存储器和顺序存储器。

如果存储器中的任何存储单元的内容都可随机存取,称为随机存储器,计算机中的主存储器都是随机存储器。

如果存储器只能按某种顺序存取,则称为顺序存储器,磁带是顺序存储器,磁盘是半顺序存储器,它们的特点是存储容量大,存取速度慢,一般作为外部存储器使用。

如果按存储器的读写功能分,有些存储器的内容是固定不变的,即只能读出不能写入,这种存储器称为只读存储器(ROM);既能读出又能写入的存储器,称为随机读写存储器(RAM)。

实际上真正的ROM基本上不用了,用的是光可擦除可编程的ROM(EPROM)和电可擦除可编程的ROM(EEPROM)。

EEPROM用的越来越多,有取代EPROM之势,比如容量很大的闪存(FLASH)现在用的就很广泛,常说的U盘就是用FLASH做的。

按信息的可保存性分,存储器可分为非永久性记忆存储器和永久性记忆存储器。

ROM、EPROM、EEPROM都是永久记忆存储器,它们断电后存储内容可保存。

RAM则是非永久性记忆存储器,断电后存储器中存储的内容丢失。

随机读写存储器类型随机存储器按其元件的类型来分,有双极存储器和MOS存储器两类。

在存取速度和价格两方面,双极存储器比MOS存储器高,故双极存储器主要用于高速的小容量存储体系。

在MOS存储器中,根据存储信息机构的原理不同,又分为静态随机存储器(SRAM)和动态随机存储器(DRAM)。

静态随机存储器采用双稳态触发器来保存信息,只要不断电,信息就不会丢失;动态随机存储器利用记忆电容来保存信息,使用时只有不断地给电容充电才能使信息保持。

静态随机存储器的集成度较低,功耗也较大;动态随机存储器的集成度较高,功耗低。

现在计算机中,内存容量较大,常由动态随机存储器构成。

数学实验报告

数学实验报告

西安交通大学实验报告一、某棉纺厂的原棉需从仓库运送到各车间,各车间的原棉需求量,单位产品从各仓库运往各车间的运输费以及各仓库的库存如表所列,问如何安排运输任务使得总运费最小?问题分析:该题较为简单,只要根据表中数据确定不等式,找到上下限,在根据书上的已有例子,综合自己的判断,就可写出。

f=[2,1,3,2,2,4,3,4,2];A=[1,1,1,0,0,0,0,0,0;0,0,0,1,1,1,0,0,0;0,0,0,0,0,0,1,1,1];b=[50;30;10];aeq=[1,0,0,1,0,0,1,0,0;0,1,0,0,1,0,0,1,0;0,0,1,0,0,1,0,0,1]; beq=[40,15,35];vlb=[0,0,0,0,0,0,0,0,0];vub=[];[x,fval]=linprog(f,A,b,aeq,beq,vlb,vub)结果分析:由运行结果可知,第一车间由1,2仓库分别运进10,20单位的原棉,第二车间由1仓库运进15单位的原棉,第三车间由1,3仓库分别运进25,10单位的原棉,即可使总运费最小。

二、某校学生在大学三年级第一学期必须要选修的课程只有一门,可供限定选修的课程有8门,任意选修课程有10门,由于一些课程之间互有联系,所以可能在选修某门课程中必须同时选修其他课程,这18门课程的学分数和要求同时选修课程的相应信息如表:按学校规定,每个学生每学期选修的总学分不能少于21学分,因此,学生必须在上述18门课程中至少选修19学分学校同时还规定学生每学期选修任意选修课的学分不能少于3学分,也不能超过6学分,为了达到学校的要求,试为该学生确定一种选课方案。

问题分析:本题是一道典型的0-1规划的问题,本体的难点在于,选了B一定要选A,但选了A却有选B,和不选B这两种方案,故不可采用以前普通的计算方式,考虑相减,即A-B>=0就可解决该问题。

c=[-5,-5,-4,-4,-3,-3,-3,-2,-3,-3,-3,-2,-2,-2,-1,-1,-1,-1];a=[-5,-5,-4,-4,-3,-3,-3,-2,-3,-3,-3,-2,-2,-2,-1,-1,-1,-1;0,0,0,0,0,0,0,0,3,3,3,2,2,2,1,1,1,1;0,0,0,0,0,0,0,0,-3,-3,-3,-2,-2,-2,-1,-1,-1,-1;-1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0;0,-1,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0;0,0,0,0,0,0,0,-1,1,0,0,0,0,0,0,0,0,0;0,0,0,0,0,-1,0,0,0,1,0,0,0,0,0,0,0,0;0,0,0,-1,0,0,0,0,0,0,1,0,0,0,0,0,0,0;0,0,0,0,-1,0,0,0,0,0,0,1,0,0,0,0,0,0;0,0,0,0,0,0,-1,0,0,0,0,0,1,0,0,0,0,0;0,0,0,0,0,-1,0,0,0,0,0,0,0,1,0,0,0,0];b=[-19;6;-3;0;0;0;0;0;0;0;0];[x,favl]=bintprog(c,a,b)favl=-favl;结果分析:有实验结果可知,连选前10门课才可达到学校的要求。

西安交通大学数字信号处理实验报告

西安交通大学数字信号处理实验报告

数字信号处理实验报告班级:硕姓名:学号:实验1 常见离散信号的MATLAB 产生和图形显示实验目的:加深对常用离散信号的理解;实验内容:(1)单位抽样序列clc;x=zeros(1,11); x(1)=1; n=0:1:10;stem(n,x, 'fill'); title('单位抽样序列'); xlabel('n'); ylabel('x[n]')延迟5个单位:clc;x=zeros(1,11); x(6)=1; n=0:1:10;stem(n,x, 'fill'); title('单位抽样序列'); xlabel('n'); ylabel('x[n]')nx [n ](2)单位阶跃序列clc;x=[zeros(1,5),ones(1,6)]; n=-5:1:5;stem(n,x,'fill'); title('单位阶跃序列'); xlabel('n'); ylabel('x[n]');nx [n ](3)正弦序列clc; N=50; n=0:1:N-1; A=1; f=1; Fs=50; fai=pi;x=A*sin(2*pi*f*n/Fs+fai); stem(n,x,'fill'); title('正弦序列'); xlabel('n'); ylabel('x[n]'); axis([0 50 -1 1]);nx [n ](4)复正弦序列clc; N=50; n=0:1:N-1; w=2*pi/50; x=exp(j*w*n); subplot(2,1,1); stem(n,real(x)); title('复正弦序列实部'); xlabel('n');ylabel('real(x[n])'); axis([0 50 -1 1]); subplot(2,1,2); stem(n,imag(x)); title('复正弦序列虚部'); xlabel('n');ylabel('imag(x[n])'); axis([0 50 -1 1]);nx [n ](5)指数序列clc; N=10; n=0:1:N-1; a=0.5; x=a.^n;stem(n,x,'fill'); title('指数序列'); xlabel('n'); ylabel('x[n]'); axis([0 10 0 1]);nr e a l (x [n ])ni m a g (x [n ])(6)复指数序列性质讨论:0(j )()enx n σω+=将复指数表示成实部与虚部为00()e cos j sin n n x n n e n σσωω=+1.当σ=0时,它的实部和虚部都是正弦序列。

实验报告模板

实验报告模板

西安交通大学实验报告课程_大学计算机_实验名称_检索绘图音频及图像处理_第页共页系别_____ 能动学院___________ 实验日期年月日专业班级________________组别_____________ 实验报告日期年月日姓名________________学号_____________ 报告退发 ( 订正、重做 )同组人_________________________________ 教师审批签字●目标任务:一. 信息检索1.使用百度地图网站搜索西安交通大学南门到西安大唐芙蓉园的公交线路。

(屏幕截图)2.在本校图书馆网站查找两门课程的教学参考书(屏幕截图)。

3.使用百度图片网站搜索有关“飞机”和“天空”的图片,各下载一张,并分别命名为:天空.jpg,飞机.jpg。

4.使用Ei检索,检索目前中国高速铁路(High-speed railway in China)相关的工程论文(屏幕截图)二. 矢量图绘制题目:使用Microsoft Office Visio 2010办公绘图软件,绘制流程图。

要求:参见实验教材p27,“四. 实验任务和要求”。

三.数字音频处理题目:使用GoldWave音频处理软件,完成手机铃声制作要求:从网上下载一个音乐文件,选取最喜爱的片段,将其保存成手机要求的音频格式(如MP3、WAV)作为手机铃声(存放为另一个音乐文件)。

结果:在实验报告中,粘贴两个音乐文件的属性对话框屏幕截图。

(分析文件的大小与占用空间的不同)GoldWave软件存放地址:D:\计算机应用技术基础、ECAT.Software\ECAT-Software\GoldWave.rar或从网上下载。

四.数字图像处理题目:使用Photoshop软件进行“飞行编队”图像设计。

要求:参见实验教材p37,“四. 实验任务和要求”(1)飞行编队设计。

结果:将设计的“三角飞行编队图片”粘贴到实验报告中。

最后上传实验报告。

西安交通大学材料力学性能实验报告—高分子材料拉伸实验

西安交通大学材料力学性能实验报告—高分子材料拉伸实验
实验设备及材料Fra bibliotek实验条件
试样示意图
L=110;C=25± 0.5;b=6.5± 0.1;W=25; R1=14;R2=25;G0=25± 0.2;H=76;
实验初始数据记录及处理结果 1. 实验拉伸图及数据(见附页) 2. 数据处理 对于聚酯薄膜: 屈服强度 Rp0.2=27.1MPa; 屈服点伸长率 δp0.2=4.8%; 断裂强度 Rm=31.9MPa; 断裂点伸长率 δm=771.2%; 定伸率 100%时名义应力 R100%=16.0MPa; 定伸率 300%时名义应力 R300%=16.7MPa。 对于 PVC: 断裂强度 Rm=15.5MPa; 断裂点伸长率 δm=351.2%; 定伸率 100%时名义应力 R100%=7.0MPa; 定伸率 300%时名义应力 R300%=14.1MPa。 实验分析 1. 分析对比不同的高分子材料的拉伸力学性能。 答:从实验结果图上可以明显看出聚酯薄膜和 PVC 两种材料在拉伸力学性 能上是截然不同的。聚酯薄膜在初加载阶段曲线急剧下降,之后继续加载 出现明显的屈服平台。达到屈服极限后应力随加载的增大而增大,处于形 变硬化阶段。比起 PVC 其强度很高,直到断裂强度后断裂。 观察 PVC 拉伸曲线可知,加载一开始应力就随载荷增大而增大,直至断裂 并没有出现屈服现象,其强度较低。总结得出,两种材料相比而言聚酯薄 膜性能较韧,强度高;而 PVC 表现出相对软而韧的力学性质。 2. 分析实验条件对拉伸性能的影响。 答:拉伸试验条件可从以下几个方面分析 1.温度增大,分子内活动加速, 材料宏观性能明显变得软而韧,其拉伸强度降低而伸长率增大。2.拉伸速 度直接影响材料抵抗外载荷的表现,拉伸速度增大,材料来不及发生变化 而表现出相对脆性的断裂。3.湿度对材料的影响类似于温度,断裂强度减 小,伸长率增大。 3. 与金属材料相比较,高分子材料的拉伸性能的基本特征是什么? 答:与金属材料相比,高分子材料的拉伸性能最大的特点就是变形能力较 好,伸长率较大。一般而言高分子材料的拉伸速率都要比金属要大。另外, 对于外在影响而言,高分子材料对温度、湿度等更敏感,而金属不会受太 大影响。

西交射频实验报告

西交射频实验报告

一、实验目的1. 熟悉射频实验的基本原理和操作方法;2. 掌握射频信号的产生、调制、解调及滤波等基本技术;3. 理解射频电路的设计与调试方法;4. 培养实验操作能力和分析问题、解决问题的能力。

二、实验原理射频(Radio Frequency,RF)技术是指频率在300kHz~300GHz范围内的无线电技术。

射频技术在通信、雷达、遥感、导航等领域有着广泛的应用。

本实验主要涉及射频信号的产生、调制、解调及滤波等基本技术。

1. 射频信号的产生:利用振荡器产生射频信号;2. 调制:将信息信号加载到射频信号上,形成调制信号;3. 解调:从调制信号中提取出信息信号;4. 滤波:对射频信号进行滤波处理,以去除噪声和干扰。

三、实验仪器与设备1. 射频信号发生器;2. 射频功率计;3. 射频调制器;4. 射频解调器;5. 射频滤波器;6. 双踪示波器;7. 信号源;8. 连接线等。

四、实验内容1. 射频信号的产生与测试(1)搭建射频信号发生器电路,产生一定频率和幅度的射频信号;(2)使用射频功率计测量射频信号的功率;(3)观察射频信号在示波器上的波形,分析其特性。

2. 射频调制与解调(1)搭建射频调制电路,将信息信号加载到射频信号上;(2)搭建射频解调电路,从调制信号中提取出信息信号;(3)观察调制和解调后的信号在示波器上的波形,分析其特性。

3. 射频滤波(1)搭建射频滤波器电路,对射频信号进行滤波处理;(2)观察滤波后的信号在示波器上的波形,分析其特性。

五、实验步骤1. 搭建射频信号发生器电路,产生一定频率和幅度的射频信号;2. 使用射频功率计测量射频信号的功率;3. 观察射频信号在示波器上的波形,分析其特性;4. 搭建射频调制电路,将信息信号加载到射频信号上;5. 搭建射频解调电路,从调制信号中提取出信息信号;6. 观察调制和解调后的信号在示波器上的波形,分析其特性;7. 搭建射频滤波器电路,对射频信号进行滤波处理;8. 观察滤波后的信号在示波器上的波形,分析其特性。

西安交通大大学化学实验报告模板

西安交通大大学化学实验报告模板

西安交通⼤⼤学化学实验报告模板
西安交通⼤学化学实验报告
第页(共页)
课程:______________________________ 实验⽇期:年⽉⽇专业班号_____________组别____________ 交报告⽇期:年⽉⽇
姓名_____________学号____________ 报告退发:(订正、重做)同组者_____________________________ 教师审批签字:
实验名称化学反应速率、反应级数及反应活化能
⼀、实验⽬的
⼆、实验原理
三、仪器与试剂
1. 仪器
2. 试剂
四、实验步骤
五、实验结果与数据处理
1、浓度对反应速率的影响
表1浓度对反应速率的影响
室温:
2、温度对反应速率的影响
表2温度对反应速率的影响
表3催化剂对反应速率的影响
4、活化能的计算
图1
T
1
对k lg 图
六、实验讨论及思考题。

计量经济学实验报告(西安交通大学)

计量经济学实验报告(西安交通大学)

计量经济学实验报告姓名:何璐(交换生)班级:经济91学号:09182250实验报告1.第二章十二题1.1实验目的建立一元计量经济学模型并对方程进行检验和预测1.2实验内容1)做出散点图,建立税收随国内生产总值GDP变化的一元线性回归方程。

并解释斜率的经济意义。

2)对所建立的回归方程进行检验3)若2008某地区国内生产总值为8500亿元,求该地区税收的预测值及区间。

下表是中国2007年内地各地区税收Y和国内生产总值GDP的统计资料1.3实验过程与结论(1)做Y关于GDP 的散点图,按照如下步骤:在Eviews软件中,选择Quick/Graph(图1-1),出现Serise List(图1-2)对话框图1-1图1-2在Graph窗口的Graph Type栏中选择Scatter Diagram,点击OK按钮,即出现如图1-3所示的散点图。

图1-3在Eviews软件下,为了得到税收Y随GDP变化的一元线形回归方程,选择Quick/Estimate Equation(图1-4),得到如下结果:图1-4由此可知,Y随GDP变化的一元线形方程:Ý=-10.63+0.071GDP(-0.12) (9.59)R2=0.7603斜率的经济意义是:2007年,中国内地各省区GDP每增加1亿元时,税收平均增加0.071亿元。

(2)在α=5%的显著水平下,自由度为31-2=29的t分布的临界值位2.045,可由此判断,斜率项显著不为零,截距项显著为零.R2=0.7603,表明税收的76%的变化可以GDP的变化来解释,拟合度较好(3)通过Eviews操作得出Y在GDP=8500下的预测值(图1-5)为593.2667图1-52、第三章十一题2.1实验目的学习对二元回归方程进行估计,并进行F检验和t检验2.2实验内容1)估计回归方程的参数及随机干扰项的方差,计算可决系数和调整的可决系数。

2)对方程进行F检验,对参数进行t检验,并构造参数95%的置信区间。

西安交通大学仿真实验报告

西安交通大学仿真实验报告

西安交通大学实验报告实验名称: 碰撞过程中守恒定律的研究系 别 : 实 验 日 期: 姓 名: 学号_一、实验简介动量守恒定律和能量守恒定律在物理学中占有非常重要的地位。

力学中的运动定理和守恒定律最初是冲牛顿定律导出来的,在现代物理学所研究的领域中存在很多牛顿定律不适用的情况,例如高速运动物体或微观领域中粒子的运动规律和相互作用等,但是能量守恒定律仍然有效。

因此,能量守恒定律成为了比牛顿定律更为普遍适用的定律。

本实验的目的是利用气垫导轨研究一维碰撞的三种情况,验证动量守恒和能量守恒定律。

定量研究动量损失和能量损失在工程技术中有重要意义。

同时通过实验还可提高误差分析的能力。

二、实验目的1.利用气垫导轨研究一维碰撞的三种情况,验证动量守恒和能量守恒定律; 2.通过实验提高误差分析的能力。

三、实验原理如果一个力学系统所受合外力为零或在某方向上的合外力为零,则该力学系统总动量守恒或在某方向上守恒,即恒量=∑i i v m (1)。

实验中用两个质量分别为m1、m2的滑块来碰撞,若忽略气流阻力,根据动量守恒有2211202101v m v m v m v m +=+(2)对于完全弹性碰撞,要求两个滑行器的碰撞面有用弹性良好的弹簧组成的缓冲器,我们可用钢圈作完全弹性碰撞器;对于完全非弹性碰撞,碰撞面可用尼龙搭扣、橡皮泥或油灰;一般非弹性碰撞用一般金属如合金、铁等,无论哪种碰撞面,必须保证是对心碰撞。

当两滑块在水平的导轨上作对心碰撞时,忽略气流阻力,且不受他任何水平方向外力的影响,因此这两个滑块组成的力学系统在水平方向动量守恒。

由于滑块作一维运动,式(2)中矢量v 可改成标量,其方向由正负号决定,若与所选取的坐标轴方向相同则取正号,反之,则取负号。

1.完全弹性碰撞完全弹性碰撞的标志是碰撞前后动量守恒,动能也守恒,即2211202101v m v m v m v m +=+ (3) 2222112202210121212121v m v m v m v m +=+(4)由(3)、(4)两式可解得碰撞后的速度为 ()21202102112m m v m v m m v ++-=(5)()21202202222m m v m v m m v ++-=(6)如果v20=0,则有 ()2110211m m v m m v +-=(7) 2120222m m v m v +=(8)动量损失率:()1012211101000v m v m v m v m p p p p p +-=-=∆ (9)能量损失率:2101222211210100021212121v m v m v m v m E E E E E ⎪⎭⎫⎝⎛+-=-=∆ (10)理论上,动量损失和能量损失都为零,但在实验中,由于空气阻力和气垫导轨本身的原因,不可能完全为零,但在一定误差范围内可认为是守恒的。

西安交通大学数学实验报告模板

西安交通大学数学实验报告模板

成绩 西安交通大学实验报告课 程________概率论与数理统计__________________ 实验日期___2016.12.11________________________专业班号_物理51_____________________ 姓 名 _____________李淏淼_____________学 号_________2150900015_________________一、 实验问题1某大米生产厂将产品包装成1000克一袋出售,在众多因素的影响下包装封口后一袋的重量是随机变量,设其服从正态分布N(m ,),其中σ已知,m 可以在包装时调整,出厂检验时精确地称量每袋重量,多余1000克的仍按1000克一袋出售,因而厂家吃亏;不足1000克的直接报废,这样厂方损失更大,问如何调整m 的值使得厂方损失最小?二、 问题分析(涉及的理论知识、数学建模与求解的方法等)设定x 为产品包装后的重量,依题意x 为一随机变量,且服从正态分布N ,概率密度函数为f (x )当成品重量M 给定后,记:P 为x 大于等于M 的概率P ’为x 小于M 的概率故而有: P +P’=1分析题意可知,厂方损失Y 由两部分组成:(1)x≥L 时,多余部分,重量为(x -L );(2)x<L 时,整袋报废,重量为x ;Y =()()()MM x M f x dx xf x dx ∞-∞-+⎰⎰=m -MP生产N 袋大米报废总量为Nm -NMP成品袋数为NP则成品中,平均每袋损失的重量为J=mN MPN m M PN P-=- 求J 的最小值即可三、 程序设计1. 在MATLAB 中建立文件Jmin.m function J=Jmin(m)J=m/(1-normcdf( (1000-m),0,1));2. 在Matlab 的Medit 窗口建立文件figer.mfor m=1000:0.001:1020J=Jmin(m);plot(m,J)hold onend可得出函数图像根据图像,可知函数在该区间存在最小值3.在Matlab的Medit建立文件zuixiaozhi.mmin=1100;minm=0;for m=1000:0.001:1010J=Jmin(m);if J<=minmin=J;minm=m;endendminm,min运行程序得出结果为四、问题求解结果与结论m的值为1003.5时,厂方损失最小五、问题的进一步拓展与实验m的值为1003.5时,平均每袋的损失为多少?六、实验问题2设(X, Y)的联合分布律为求X与Y的协方差及相关系数。

西安交通大学材料力学性能实验报告四

西安交通大学材料力学性能实验报告四

实验报告四验面,如果试样是曲面应确保压头作用力方向与实验部位最高点作的水平线垂直,并正确队中。

6.初载时将长针对BC线上,短针对至红点,或有的硬度计长、短针均重合对准B-O线上。

7.加主载,待保载时间结束后,读取C标尺所规定的读书,小数点留一位为估值;8.逆时针方向旋转使载物台下降,方可取走式样,每次至少打三点读取平均值,若三点值在5°以内有效,若偏差超范围,需继续打硬度,直至数据稳定。

分析各种试样的硬度试验方法与试验条件的选择原则。

答:布氏硬度实验试样的选择原则:用较大直径的球体压头压出面积较大的压痕,适合强度级别较低的金属材料硬度的测定,由于压痕较大,测试面积也较大,所以可以测得金属各组成部分的平均硬度值,硬度值比较稳定,精度高,但是效率差且测试的范围有限。

试验条件的选择原则:要求d/D=0.24~0.60之间,通过大量实验可以得图一所示试验结果当试验开始加力后在试验力很小时,硬度值随着试验力的增加成比例上升。

当试验力达到一定值时,硬度值达到一个稳定值,超过某一试验力后,硬度开始降低,也就是说在一定范围内的试验力内硬度值稳定后则与试验力大小无关,即符合HB=F/S关系,因此在布氏硬度实验中应选择与试验力变化无关的试验力,D=0.325D是其理想条件,而此时钢球压痕的外切交角为136°。

图一压痕深度t和压痕直径d的关系维氏硬度实验试样的选择原则:测量硬度范围涉及到目前所知的绝大部分金属材料的硬度,主要用于测量面积小、硬度值较高的试样和工件硬度,各种标处理后的渗层或镀层以及薄材的硬度。

洛氏硬度实验试样的选择原则:由于使用的试验力较小,因此压痕较浅,对工作表面损。

西安交大概率论上机实验报告西安交通大学概率论实验报告

西安交大概率论上机实验报告西安交通大学概率论实验报告

西安交⼤概率论上机实验报告西安交通⼤学概率论实验报告概率论与数理统计上机实验报告⼀、实验内容使⽤MATLAB 软件进⾏验证性实验,掌握⽤MATLAB 实现概率统计中的常见计算。

本次实验包括了对⼆维随机变量,各种分布函数及其图像以及频率直⽅图的考察。

1、列出常见分布的概率密度及分布函数的命令,并操作。

2、掷硬币150次,其中正⾯出现的概率为0.5,这150次中正⾯出现的次数记为X ,(1) 试计算45=X 的概率和45≤X 的概率;(2) 绘制分布函数图形和概率分布律图形。

3、⽤Matlab 软件⽣成服从⼆项分布的随机数,并验证泊松定理。

4、设22221),(y x e y x f +-=π是⼀个⼆维随机变量的联合概率密度函数,画出这⼀函数的联合概率密度图像。

5、来⾃某个总体的样本观察值如下,计算样本的样本均值、样本⽅差、画出频率直⽅图。

A=[16 25 19 20 25 33 24 23 20 24 25 17 15 21 22 26 15 23 2220 14 16 11 14 28 18 13 27 31 25 24 16 19 23 26 17 14 30 21 18 16 18 19 20 22 19 22 18 26 26 13 21 13 11 19 23 18 24 28 13 11 25 15 17 18 22 16 13 12 13 11 09 15 18 21 15 12 17 13 14 12 16 10 08 23 18 11 16 28 13 21 22 12 08 15 21 18 16 16 19 28 19 12 14 19 28 28 28 13 21 28 19 11 15 18 24 18 16 28 19 15 13 22 14 16 24 20 28 18 18 28 14 13 28 29 24 28 14 18 18 18 08 21 16 24 32 16 28 19 15 18 18 10 12 16 26 18 19 33 08 11 18 27 23 11 22 22 13 28 14 22 18 26 18 16 32 27 25 24 17 17 28 33 16 20 28 32 19 23 18 28 15 24 28 29 16 17 19 18]6. 利⽤Matlab 软件模拟⾼尔顿板钉试验。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

西安交通大学实验报告
课程__程序设计_实验名称_第十二周实验__第页共页
系别____临床医学_____________________ 实验日期 2007-06-17
专业班级___65___________组别_____________ 实验报告日期 2007-06-17
姓名____李晨________学号__06201096___ 报告退发 ( 订正、重做 ) 同组人_________________________________ 教师审批签字
一.实验目的
练习画图工具和菜单的创建。

二、实验内容
(-)实验题目一名称:
1.窗体设计
2.控件属性
在画图事件中,设置如下:
绿色画笔,黄色画笔(8象素),红色刷子,黑色刷子,30号黑体字,坐标点ptP1(15,10),ptP2(234,150)
绘制图形:
直线两条 (55,40)到(200,100)的绿色线和ptP1到ptP2的黄色线
黄色矩形左上点(55,50) ,长和宽为100
绿色椭圆左上点(0,0),外接矩形长200,宽400
黄色椭圆左上点(300,0),外接矩形长100,宽300
红色填充椭圆左上点(155,100),外接矩形长和宽为100
黑色填充椭圆,左上点(0,200),外接矩形长400,宽300
文字“看看我的作品”,用30号黑色字体,在(0,500)处
3.程序源代码
Public Class Form1
Private Sub Form1_Paint(ByVal sender As Object, ByVal e As _
System.Windows.Forms.PaintEventArgs) Handles MyBase.Paint
Dim g As Graphics = e.Graphics
Dim pengreen As Pen = New Pen(Color.Green)
Dim penyellow As Pen = New Pen(Color.Yellow, 8)
Dim brushSolid1 As SolidBrush = New SolidBrush(Color.Red)
Dim brushSolid2 As SolidBrush = New SolidBrush(Color.Black)
Dim fontMyfavor As Font = New Font("黑体", 30, FontStyle.Italic)
Dim ptP1 As Point = New Point(15, 10)
Dim ptP2 As Point = New Point(234, 150)
g.DrawLine(pengreen, 55, 40, 200, 100)
g.DrawLine(penyellow, ptP1, ptP2)
g.DrawRectangle(penyellow, 55, 50, 100, 100)
g.DrawEllipse(pengreen, 0, 0, 200, 400)
g.DrawEllipse(penyellow, 300, 0, 100, 300)
g.FillEllipse(brushSolid1, 155, 100, 100, 100)
g.FillEllipse(brushSolid2, 0, 200, 400, 300)
g.DrawString("看看我的作品!", fontMyfavor, brushSolid1, 0, 500)
End Sub
End Class
4.实验结果
(二)实验题目二:创建菜单
5.窗体设计
菜单控件和一个文本框
6.控件属性
菜单中包含画图,输出文字和退出三项,画图中包含画直线,矩形和椭圆三个命令,输出文字中包含问候和显示时间,退出则关闭程序。

7.程序源代码
Public Class Form1
Private Sub问候ToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles问候ToolStripMenuItem.Click
MsgBox("您好")
End Sub
Private Sub显示时间ToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles显示时间ToolStripMenuItem.Click
MsgBox("现在时间是" & Now)
End Sub
Private Sub退出ToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles退出ToolStripMenuItem.Click
End
End Sub
Private Sub画直线ToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles画直线ToolStripMenuItem.Click
Dim g As Graphics
Dim redpen As Pen = New Pen(Color.Red)
g = TextBox1.CreateGraphics
g.DrawLine(redpen, 55, 55, 224, 99)
End Sub
Private Sub画矩形ToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles画矩形ToolStripMenuItem.Click
Dim g As Graphics
g = TextBox1.CreateGraphics
Dim redpen As Pen = New Pen(Color.Red)
g.DrawRectangle(redpen, 55, 100, 100, 100)
End Sub
Private Sub画椭圆ToolStripMenuItem_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles画椭圆ToolStripMenuItem.Click
Dim g As Graphics
g = TextBox1.CreateGraphics
Dim redpen As Pen = New Pen(Color.Red)
g.DrawEllipse(redpen, 0, 0, 40, 30)
End Sub
End Class
8.实验结果
(三)实验题目三:写入文本
9.窗体设计
两个文本框,一个输入文字,一个输入文件路径;一个按钮控制写入。

10.控件属性
无特殊设置。

11.程序源代码
Imports System.io
Public Class Form1
Private Sub Button1_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles Button1.Click
Dim writefile As New StreamWriter(TextBox2.Text)
Dim i As Integer
writefile.WriteLine(TextBox1.Text)
writefile.Close()
MsgBox("文本框的内容已写入指定的文件")
End Sub
End Class
12.实验结果
(四)实验题目四:读出文本
13.窗体设计
两个文本框,一个显示读出的文字,一个输入文件路径;一个按钮控制读出。

14.控件属性
无特殊设置。

15.程序源代码
Imports System.IO
Public Class Form1
Private Sub Button1_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles Button1.Click
Dim readfile As New StreamReader(TextBox2.Text)
TextBox1.Text = readfile.ReadToEnd()
readfile.Close()
MsgBox("指定的文件内容已读出到文本框") End Sub
End Class
16.实验结果
三、个人小结
实验过程基本顺利,没有出现困难。

相关文档
最新文档