100路数字抢答器设计
智力竞赛抢答器逻辑电路设计
智力竞赛抢答器逻辑电路设计一、抢答器的简要智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加一些科学知识和生活知识。
实际进行智力竞赛时,一般分为若干组,各组对主持人提出的问题,分必答和抢答两种。
必答有时间限制,到时要告警,回答问题正确与否,由主持人判别加分还是减分,成绩评定结果要用电子装置显示。
抢答时,要判定哪组优先,并予以指示和鸣叫。
二、抢答器的任务与要求设计要求:每组设置一个抢答器按钮,供抢答者使用。
电路具有第一抢答信号鉴别和锁存功能。
在主持人将系统复位并发出抢答指令后,若抢答者按动抢答开关,则该组指示灯亮并组别鉴别显示电路显示抢答者的组别,同时扬声器发出“嘀-嘟”的双响,音响持续2-3S。
电路具备自锁功能,使别组的抢答器开关不起作用。
设计任务:本题的根本任务是准确判别第一抢答者的信号并将其锁存。
实现这功能可用触发器或锁存器等。
在得到第一信号后应该将其电路的输出封锁,使其他组的抢答信号无效。
同时还必须注意,第一抢答信号必须在主持人发出抢答命令后才有效,否则应视为提前抢答而犯规。
当电路形成第一抢答信号之后,LED显示组电路显示其组别。
还可鉴别出的第一抢答信号控制一个具有两种工作频率交换变化的音频振荡器工作,使其推动扬声器发出响音,表示该题抢答有效。
三、设计方案用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。
2. 判别选组电路。
能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。
3. 计数、显示电路。
每组有三位十进制计分显示电路,能进行加/减计分。
4. 定时及音响。
必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。
抢答时,当抢答开始后,指示灯应闪亮。
数字抢答器的设计(数电课程设计)
数字电路课程设计一、设计任务和要求:1. 抢答器同时供4名选手抢答,抢答器具有定时抢答功能,且一次抢答的时间为10秒。
当主持人启动"开始"键后,定时器进行计时(0~9)。
2. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
3. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。
4. 在“抢答开始”命令发出后,超出规定时间无人抢答,定时显示器上显示9,显示抢答人组号的数码管此时显示无用字符,且红灯亮。
5.在“抢答开始”命令前抢答者,显示违规抢答者序号:红灯亮。
二、总体方案选择:工作原理如框图所示:接通电源后,主持人开关开始时接地处于禁止状态,编号显示器显示0,定时器显示时间(0);此时,若有人抢答, 为违规抢答,LED显示器显示其编号,并红灯警告.定时器显示不变;主持人将开关置“开始"端,宣布"开始",抢答器工作,同时定时器计时,选手在定时时间内抢答时,抢答器完成:优先判断, 编号锁存, 编号显示。
若在10秒内无人抢答,10秒到后抢答器自动锁定,计数器停止计数,抢答无效,且红灯亮。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
数字抢答器框图:抢答按钮优先编码锁存器译码电路译码显示电路主持人控控制电路制开关秒脉冲产生定时电路译码电路显示电路三,单元电路设计所用器材芯片数量芯片数量555一片74LS161一片74LS75一片74LS32一片74LS48两片74LS20 一片74L04 一片七段显示管两个74L08一片发光二极管2个74L000 一个电阻6个电容:0.01uf 4.7uf 各一个面包板一块该电路完成两个功能:一是分辨出抢答的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键,操作无效。
数字式竞赛抢答器设计报告
数字式竞赛抢答器设计报告实验日期:学院:班级:姓名:学号:1、设计任务与要求(1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
(3)设置一个主持人“复位”按钮。
(4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。
选做扩展功能:(5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。
2、设计原理定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。
扩展电路完成各选手的得分显示功能。
定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。
本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。
单元电路设计:(1)抢答电路抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。
抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。
1)抢答按钮电路抢答按钮电路由8个按钮开关及限流电阻所组成,如图2所示。
其供电电源选5V与系统电源一致。
限流电阻的确定,一方面要考虑开关断开时,要保证加到编码器(TTL电路)输入端的高电平大于器件所需的最低高电平(如2V);另一方面又要考虑开关闭合时,不至于有太大电流以增加电源消耗。
数字电路课程设计-抢答器课程设计课件
实际应用中的改进方案
改进方案
01
在调试过程中,应更加耐心细致,逐步排 查问题,提高解决问题的效率。
03
02
在设计过程中,应更加注重元件参数的选择 和匹配,以提高设计的准确性。
04
具体实施
在选择元件时,应仔细阅读元件手册,确 保参数符合设计要求。
05
06
在调试过程中,可以采用分步调试的方法 ,逐步排查问题,找到问题的根源。
调试步骤和方法
步骤三:功能测试 逐个测试抢答器的各个功能,如抢答、显示等。
检查是否存在逻辑错误或功能异常。
调试步骤和方法
方法:分块调试 将电路分成若干模块,分别进行调试,以确定问题所在。
使用示波器、逻辑分析仪等工具辅助调试。
测试方案和结果分析
方案一:正常情况下的功能测 试
按照使用说明书的操作步骤进 行测试。
阐述电路板布线的技巧和规范,包括 线宽、间距、转角等,以确保电路板 的可制造性和稳定性。
03
抢答器软件设计
控制逻辑设计
控制逻辑电路
采用逻辑门电路(如与门、或门、非门等)实现控制逻辑,确保抢答器在主持 人按下开始按钮后开始工作。
状态机设计
设计状态机来管理抢答器的不同工作状态,如等待状态、抢答状态、违规状态 等,以便根据不同状态执行相应的操作。
数字电路课程设计抢答器课程设计课件
目录
• 引言 • 抢答器电路设计 • 抢答器软件设计 • 调试与测试 • 总结与展望
01
引言
课程设计的目的和意义
01
02
03
实践应用
通过设计抢答器,学生可 以实际应用数字电路的知 识,加深对理论知识的理 解。
问题解决
设计过程中会遇到各种问 题,需要学生运用所学知 识进行解决,提高解决问 题的能力。
课程设计《数字式智力抢答器》
课程设计《数字式智力抢答器》系统设计要求:设计一个智力抢答器,可以判断第一抢答者,并具备计分功能。
抢答器有4路抢答按钮。
设置抢答器使能信号,当此信号有效时,若参赛者按下抢答开关,则抢答器能判断出第一抢答者并指示该组抢答成功,其他参赛者的抢答开关不起作用。
若提前抢答,则对相应的参赛者发出警报。
系统具有清零功能。
当清零信号有效时,抢答器对前一轮抢答的第一抢答者判断结果进行清零,恢复为初始状态。
数字式智力抢答器还具有计分功能。
如果抢答成功的参赛者满足得分条件,则增加相应分数,答错不扣分。
一、系统方案设计根据系统设计要求,系统主要由三个主要的电路模块组成,分别为第一抢答判断电路、计分电路、显示电路。
其中第一抢答判断电路主要完成最快抢答者的判断功能;计分电路存储每组竞赛者的分数;显示电路显示抢答器的状态和各组的分数。
因此,数字竞赛抢答器的输入信号包括:复位信号CLR、抢答器使能信号EN、四组抢答按钮A/B/C/D、加分信号ADD;输出信号:四组抢答状态的显示LEDx;对应的得分SCOREx;抢答器抢答成功的组别显示、报警信号FALSE。
二、单元电路设计1、第一抢答判断电路第一抢答判断电路模块具有第一抢答信号的鉴别和锁存功能。
(1)当复位CLR信号有效(高电平)时,电路清零。
(2)当使能信号EN为低电平时,如果有人抢答,则提前抢答报警信号FALSE[3..0]的对应位输出高电平,以示警告。
(3)当EN为高电平时,抢答开始。
首先将报警信号FALSE[3..0]清零,然后根据四组竞赛者的抢答情况选择最先抢答的信号,显示抢答状态显示信号LEDA—LEDD。
(即LEDA—LEDD对应输出高电平)。
抢答成功者的组别编号由信号Q[3..0]输出,并锁存抢答器此时的状态,直到CLR信号有效为止。
(4)在每一轮新的抢答之前,都要使用复位信号CLR,清除上一轮抢答留下的痕迹,使电路恢复初始状态。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qd isport(clr,en:in std_logic;A,B,C,D:in std_logic;LEDA,LEDB,LEDC,LEDD:out std_logic;--抢答成功指示灯FALSE:out std_logic_vector(3 downto 0);--提前抢答报警Q: out std_logic_vector(3 downto 0)); --抢答成功组显示End qd;Architecture behave of qd isSignal temp: std_logic_vector(3 downto 0);Signal TAG : std_logic; --设置锁存标志位BeginTemp<=A&B&C&D;PROCESS(clr,EN,A,B,C,D)BEGINIF clr=’1’ then -- 电路清零Q<=”0000”;LEDA<=’0’;LEDB<=’0’;LEDC<=’0’;LEDD<=’0’;FALSE<=”0000”;TMG<=’0’;ELSIF (EN=’0’) THEN -- 提前抢答报警IF A=’1’ THEN --A提前抢答报警FALSE(3)<=’1’;END IF;IF B=’1’ THENFALSE(2)<=’1’;END IF;IF C=’1’ THENFALSE(1)<=’1’;END IF;IF D=’1’ THENFALSE(0)<=’0’;END IF;ELSE –抢答开始FALSE<=”0000”;IF TAG=’0’ THEN -- 尚未有抢答成功者IF TEMP=”1000” THENLEDA<=’1’;LEDB<=”0”;LEDC<=”0”;LEDD<=’0’;Q<=”1000”; --显示A抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0100” THENLEDA<=’0’;LEDB<=”1”;LEDC<=”0”;LEDD<=’0’;Q<=”0100”; --显示B抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0010” THENLEDA<=’0’;LEDB<=”0”;LEDC<=”1”;LEDD<=’0’;Q<=”0010”; --显示A抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0001” THENLEDA<=’0’;LEDB<=”0”;LEDC<=”0”;LEDD<=’1’;Q<=”0001”; --显示A抢答成功TAG<=’1’; --锁存此状态END IF;END IF;END IF;END PROCESS;END behave;2、计分电路计分电路在参赛者抢答成功后,根据比赛情况进行比较分数的调整。
抢答器的设计与制作(五篇范例)
抢答器的设计与制作(五篇范例)第一篇:抢答器的设计与制作抢答器的设计与制作抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计抢答器的方法。
1抢答器的基本组成及工作原理1.1抢答器的组成抢答器的一般构成框图如图1.1所示。
它主要由开关阵列电路、触发锁存电路、编码器、7段显示器几部分组成。
下面逐一给予介绍。
图1.1抢答器的组成框图(1)开关阵列电路该电路由多路开关所组成,每一竞赛者与一组开关相对应。
开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。
(2)触发锁存电路当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。
若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。
(3)编码器编码器的作用是将某一开关信息转化为相应的8421BCD码,以提供数字显示电路所需要的编码输入。
(4)7段显示译码器译码驱动电路将编码器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
(5)数码显示器数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。
本设计提供的为LED数码管。
1.2抢答器的工作原理(1)开关阵列电路图1.2所示为8路开关阵列电路,从图上可以看出其结构非常简单。
电路中,R1~R8为上拉和限流电阻。
当任一开关按下时,相应的输出为低电平,否则为高电平。
图1.2开关阵列电路(2)触发锁存电路图1.3所示为8路触发锁存电路。
图中,74HC373为8D锁存器,一开始,当所有开关均未按下时,锁存器输出全为高电平,经8输入与非门和非门后的反馈信号仍为高电平,该信号作为锁存器使能端控制信号,使锁存器处于等待接收触发输入状态;当任一开关按下时,输出信号中必有一路为低电平,则反馈信号变为低电平,锁存器刚刚接收到的开关被锁存,这时其它开关信息的输入将被封锁。
数字逻辑电路课程设计——抢答器
西安邮电学院数字电路课程设计报告书——数字抢答器学院名称:电子工程学院学生姓名:专业名称:班级:实习时间:数字电路课程设计------------数字抢答器一、课程设计题目数字式抢答器二、设计任务和要求1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。
2. 设置一个主持人开关,用来控制抢答的开始和结束。
3. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。
当主持人启动"开始"键后,定时器采用倒计时计数到0。
5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。
6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。
红灯亮,并在数码管管上显示该抢答选手。
7.如果主持人未启动“开始”键,有人抢答,为提前抢答。
显示其号码,此时红灯亮提示。
三、数字抢答器总体方案1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。
此时, 若有人抢答, 为违规抢答数码管显示其编号,并红灯警告.定时器显示不变。
2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。
3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。
如果再次抢答必须由主持人再次操作"开始"状态开关。
四、单元电路设计1.抢答器电路的设计 (即完成锁存和显示功能)(1)抢答, 锁存电路:在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态:74LS75的管脚图和真值表:7475功能表D0Q0D1Q3Vcc有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。
数字抢答器的设计及PCB板制作(李红圆)
洛阳理工学院毕业论文(设计)题目数字抢答器的设计及PCB板制作姓名李学号院系洛阳理工学院学院专业机电一体化指导教师当宝华职称讲师2010年5月18日目录摘要 (1)关键词 (1)Abstract (1)Key words (1)引言 (1)1 PROTEL 99SE介绍 (2)1.1 PROTEL99SE系统的组成 (2)1.1.1 电路工程设计部分 (2)1.1.2 电路仿真与PLD部分 (2)1.2 PROTEL99SE的功能特性 (2)2 抢答器的系统简介 (2)2.1 功能简介 (2)3 设计原理与电路 (3)3.1 数字抢答器的结构框图 (3)3.2 主体电路 (4)3.3 扩展电路 (8)4 抢答器其他做法 (10)4.1 门电路做法 (10)4.2 单片机做法 (10)5 总结与展望 (10)致谢 (10)参考文献 (11)附录A PROTEL 整体电路图 (11)附录B PCB板 (12)数字抢答器的设计及PCB板制作通信工程左世宽指导教师李秀娟摘要:抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。
抢答器多向着数字智能化发展,数字抢答器由主体电路与扩展电路两大部分组成:优先编码电路、锁存器、译码电路将输入信号显示;用控制电路和开关启动报警电路,以上两部分组成主体电路;通过定时电路和译码电路将脉冲信号在显示器上输出实现计时功能,构成扩展电路。
抢答器除具有基本的抢答功能外,还具有定时、计时和报警多重功能。
主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。
关键词:抢答器锁存器报警电路译码电路Design of Digital Answering Device and PCB Board ProductionStudent majoring in Communication Engineering Shikuan ZuoTutor Xiujuan LiAbstract:Responder is a tool that has been widely used in various occasions of intelligence and knowledge competitions. Responder develops toword digital intelligence, digital answering device extended from the main circuit and the circuit two parts: the priority encoder circuit, latch, decoder input signal display; with the control circuit and switch startup alarm circuit, these two parts of the main circuit; through the regular circuit and decoding circuit will pulse the display output to achieve timing function, form expansion circuit.Responder addition to a basic function, but also with time, multiple time and alarm functions. Default by the time the default host for the answer in the time switch, the system will complete the automatic countdown.Key words:Responder;Latch;Alarm Circuit;Decoding circuit引言抢答器在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。
数字电子技术课程设计报告多路数字式竞赛抢答器的设计与制作
目录1、设计目的 .......................................................................... - 1 -2、设计任务及内容要求 ...................................................... - 1 -2.1设计要求. (1)2.2实现功能 (2)3.系统总体设计及参数计算 ................................................. - 3 -3.1系统的总体框图. (3)3.2系统工作原理 (4)3.3系统各部分模块设计 (5)3.3.1抢答器的主体电路设计.......................................... - 5 -3.3.2定时电路设计 .......................................................... - 6 -3.3.3报警电路的设计 ...................................................... - 9 -4、完整的模拟仿真电路图 ................................................ - 10 -5、设计总结与体会 ............................................................ - 10 -6、参考文献 ........................................................................ - 11 -1、设计目的在电视和学校中我们会经常看到一些智力抢答的节目,如果要是让抢答者用举手等方法,主持人很容易误判,会造成抢答的不公平,比赛中为了准确、公正、直观地判断出第一抢答者,所设计的抢答器通常由数码显示、灯光、音响等多种手段指示出第一抢答者。
简易数字抢答器设计
设计题目:(简易数字式竞赛抢答器设计)简易数字式竞赛抢答器设计一、设计任务及要求:在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。
通过抢答器的数显、灯光和音响等手段指示出第一抢答者。
同时,还可以设置定时、记分、犯规及奖惩记录等多种功能。
(一)具体要求:设计制作一个可容纳3个组参赛的抢答器。
1、每组设置一个抢答开关,分别为S0,S1,S2(高电平,即逻辑“1”有效)。
2、设置主持人控制键:J0是用于控制整个系统清零的按钮(高电平,即逻辑“1”有效),J l是用于发布抢答开始命令的开关(高电平,即逻辑“1”有效)。
3、设计抢答定时(20秒)电路,且计时起点与抢答命令J1同步,抢答者必须在定时20秒内进行抢答,超时而无人抢答则题目作废。
4、设计第一抢答信号鉴别和锁存功能。
在主待人发布抢答命令之后,第一抢答者按下抢答开关后,电路应记忆下第一抢答者的组别,并封锁其他各组的按钮,即其他任何组按键都不会使电路响应。
(二)输入输出说明:1、输入信号:四个控制开关S0、S1、S2、J1 和1个按钮J0。
2、外部输入脉冲信号时钟源CP(2Hz),经适当分频后供控制器和定时器使用。
3、定时时间输出接到外部的2个8421BCD数码管M1、M2上,显示定时时间(19~00)。
4、输出以发光二极管LED方式指示第一抢答者,各组的发光二极管分别是L0,L1,L2。
其具体框图如下:根据如上说明,本设计的主要任务和设计要求是:1、按照现代数字系统的Top-Down模块化设计方法,提出数字式竞赛抢答器设计系统的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、定时器、第一信号鉴别等模块化子系统的设计方案。
2、在ModelSim的EDA设计环境中,完成系统的顶层设计、各子系统的模块化设计。
分别完成各个基于V erilog HDL语言实现的子模块(包括控制电路、定时电路、第一信号鉴别电路)的逻辑功能仿真。
数字抢答器 课程设计
抢答器的使用步骤及功能抢答器的使用步骤及功能抢答器的使用步骤(1)电路上电抢答前,由抢答主持人进行系统复位,确定抢答允许时间。
(2)抢答主持人发出抢答命令同时按下定时开关。
(3)抢答者听到抢答开始命令后,通过各自的按钮开关输入抢答信号。
抢答器的功能数字式抢答器广泛应用于各种知识竞赛活动中。
它具有数字显示抢答者序号功能同时配以声、光报警,以响应抢先抢答者的信号和序号,对犯规抢答者(包括提前和超前抢答)除声、光报警外,还有显示抢答犯规者序号的功能,同时还可以设置记分和奖罚记录等多种功能。
1数字抢答器的设计2抢答器的具体设计第一章设计任务与要求1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。
2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。
3. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。
当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。
5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
6. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。
第二章预习要求第二章预习要求1.复习编码器、十进制加/减计数器的工作原理。
2.设计可预置时间的定时电路。
3.分析与设计时序控制电路。
4. 画出定时抢答器的整机逻辑电路图基于这个设计的上述要求,根据功能要求,我们须设计有抢答电路、译码显示电路、主持人控制电路、定时电路、脉冲产生电路、报警电路。
各个电路都有其自己的功能:1、控制电路必须做到:主持人将开关拨到“清除”状态,抢答器处于禁止状态,定时器显示设定时间;主持人将开关置“开始”状态,宣布"开始"抢答器工作、定时器倒计时,扬声器给出声响提示。
数电实验之抢答器的设计
在动触头端加一个RS触发器
各处电阻选择其大小的依据是什么?
470K为限时电阻,T=1.1RC 510为限流电阻,保护二极管,用(电源电压-二极管正常工作压 降)/二极管工作电流
谢 谢!
感谢聆听!
实验内容
要求设计一个三人智力竞赛抢答电路。 具体要求: 1、主持人按下“开始”开关后,“允许抢答”指示
灯亮,同时使“抢答指示灯”熄灭,解除选手之 间的封锁。抢答限定时间(设计为5秒)结束时 “允许抢答”指示灯熄灭。选手应在“允许抢答” 指示灯亮时抢答有效,过时无效。
2. 每个选手操纵一个“抢答” 微动开关,以控 制自己的一个“抢答指示灯”。抢先按动开 关者能使自己的“抢答指示灯”亮,同时封 锁其余两人的动作,即其余两人即使再按动 开关也不起作用。主持人按“开始”微动开 关时,使“抢答指示灯”熄灭,解除选手之 间的封锁以达到以重新开始之目的;
触发器可以作为数字系统中的存储元件,但断电后所存 储的数据会自行D D 01 X 10 X 11 1
0
CK Qn+1 X1 X0 ↑1 ↑0
功能 异步置位 异步复位 置1 置0
两人抢答电路
R=470KΩ C=10uF 其余电阻为510Ω 14接高,7接地
工作过程
555连接成单稳态电路, tw=1.1RC=470kΩ*10uF*1.1≈5.2s 可起到定时作用,当主持人按下微动开关时候,3输出高 电平,M1灯亮(约5S),与门打开;同时2脚输出个负 脉冲,让D触发器异步清零(M2、M3灯灭)。
M1灯亮时候,如果有人按下抢答的微动开关,产生一个 正的时钟脉冲,D触发器Q端输出高电平,Q非为低电平, 此人的二极管亮,同时,Q非的低电平可将另外一人的 与门封锁。
数字抢答器的设计及原理 毕业设计
数字抢答器的设计及原理摘要随着计算机技术的高速发展,数字电路以其自身的特点,已广泛应用于各个领域.在知识竞赛中,特别是做抢答题目的时候,靠视觉是很难判断出哪组先抢答成功.本次设计的抢答器目的就是解决这些问题.整体的电路可以分为两部分:第一部分是抢答电路,第二部分是定时、报警电路.抢答器具有锁存与显示功能;定时、报警电路不仅可以对抢答及回答问题的时间进行定时,而且即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题.整个电路按单元分可分为编码单元,锁存单元,加法器单元,设定抢答时间单元,和译码单元五个部分.本设计对各单元所需的元器件进行了详细的介绍,并附上了电路图,最终完成了设计目的.关键词:抢答器;数字电路;定时;译码;锁存ABSTRACTWith the rapid development of computer technology, digital circuit with its own characteristics has been widely used in various fields.a nd In the knowledge competition, especially when do vies to answer first subject, it is difficult to judge by visual out which section first vies to answer first success. The design of the purpose is vies to answer first to solve these problems. The whole circuit can be divided into two parts: the first part is vies to answer first circuit, the second part was the timing, alarm circuit. Vies to answer first instruments have lock to save and display; Timing, alarm circuit can not only to vies to answer first and answer problem of time for timing, and even if the two groups of vies to answer first time is a few microseconds, also can distinguish which group of preferred the answer. The whole circuit as a unit points can be divided into coding units, lock CDS yuan, adder unit, set vies to answer first time unit, and decode unit of five parts. The design of each unit required components is introduced in detail, and attach the circuit diagram, eventually completed the design purpose.Key words: Vies to answer first device; Digital circuit; Timing; Decoding; Lock to save目录1引言 (1)2设计任务目的及要求 (1)2.1设计目的 (1)2.2设计要求 (1)3工作原理及设计方案 (2)3.1原理框图 (2)3.2设计思路 (3)3.3设计流程图 (3)3.4设计方案 (4)4单元电路设计与实现 (5)4.1编码单元 (5)4.2锁存单元 (6)4.3加法器单元 (7)4.4设定抢答时间单元 (8)4.5译码单元 (9)4.6秒脉冲电路 (9)4.7报警电路 (10)5选用74系列芯片实现的理由: (11)6实验工具 (11)7接线要求 (11)8改进设想及建议 (12)9实验结果 (12)10 心得体会 (12)参考文献 (13)致谢................................................................................................ 错误!未定义书签。
数字抢答器设计完整版
数字抢答器设计HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】唐山学院数字电子技术课程设计题目数字抢答器设计系 (部) 信息工程系班级 12电本班姓名学号 4120208xxx指导教师马军爽樊艳2014 年 6 月 30 日至 7 月 4 日共 1 周2014年 7 月 3 日目录1 引言抢答器是一种应用非常广泛的设备,无论是在学校、工厂、军队还是益智性电视节目都会举办各种各样的智力竞赛,都会用到抢答器。
它能准确、公正、直观地判断出抢答者的座位号,也能显示出每个选手的抢答时间,使比赛大大增加了娱乐性的同时,也更加公平、公正。
目前市场上已有各种各样的智力竞赛抢答器,但绝大多数是早期设计的,由模拟电路、数字电路或者模拟电路与数字电路相结合的产品。
数字抢答器由主体电路与扩展电路组成。
优先编码器、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。
通过定时电路实现计时功能,构成扩展电路。
在抢答电路中利用一个优先编码器译出最先抢到答题权的选手编号并经数码管显示出来,同时给出声音提示,还要封锁电路,使其他选手再抢答则无效。
当选手问答完成后,主持人将系统恢复。
如果抢答时间已到,却没有选手抢答,则本次抢答无效,报警电路发出报警,禁止选手超时后抢答。
本设计以六路抢答器为基本概念,从实际应用出发,利用multisim完成了原理图设计和电路仿真,由抢答电路、倒计时电路、报警电路组成。
实现能同时供6人抢答的电路。
2 仿真软件介绍MultisimMultisim10是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟、数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。
数字电路课程设计--抢答器
数字电路课程设计报告书——数字抢答器学院名称:电子工程学院学生姓名:专业名称:电子信息工程班级:实习时间:12月10日~12月21日一.题目:数字抢答器二.任务和要求:数字抢答器允许在规定的时间内进行抢答,可以数字显示抢答者的序号,并配有相应的灯光指示.对犯规的抢答者,除用灯光报警外,还应显示出犯规者的序号,若规定抢答时间已过,要求告示任何输入的抢答信号君无效,除非重新下达抢答命令.1. 此抢答器要求有四路,抢答后其余人抢答无效;2.抢答时间为10秒,在此期间内的抢答视为有效,并用灯指示; 3.抢答开始前及计时结束后抢答无效,并用灯指示。
三.总体方案的选择:四.单元电路的设计1. 时钟电路时钟电路采用555产生1Hz 的脉冲信号,电路图如下:U2LM555CMGND 1DIS 7OUT3RST 4VCC8THR 6CON5TRI 2VCC 5V R14.7kΩR2150kΩC110nF 3C24.7uF VCC2102. 抢答锁存电路:控制电路 计时电路 时钟电路 显示驱动电路抢答电路 译码电路 显示驱动电路 主持人电路状态电路在这一部分,最主要的是锁存电路,锁存电路主要由75来实现,当74LS75的4,13号管角的信号为“0”时,它将保持原来的状态,拒绝之后接收到的任何输入信号,如此即可实现锁存。
74LS75真值表:1C2C 3C4C Q1 1 10 X 0X 0 Qn当有一组队员按下开关后,Q1’,Q2’,Q3’,Q4’中有一个信号为1,则它们四个通过与非门后的信号为1,在通过非门后,它变为0,送到75的1C2C,3C4C端口,保持状态不变,实现锁存功能。
译码电路:当某个队员正常抢答上以后,要显示他的编码号,必须把该信号转换为二进制代码。
其关系为:Q1 Q2 Q3 Q4 A B C D1 0 0 0 1 0 0 00 1 0 0 0 1 0 00 0 1 0 1 1 0 00 0 0 1 0 0 1 0由上真值表知:2Q=;C=Q4;D=0;=;3B∙Q31QQA∙综上可得到抢答锁存电路的电路图3.计时电路:计时电路采用161芯片,产生10秒的倒计时161功能表如下:fg 状态转移图如下:计时到0后,由主持人电路产生置数信号,使161载入初值0110.电路图如下:4. 计数器的译码显示电路:两片74LS48的译码电路相同,连接图如下:5. 控制电路控制电路输入主持人电路的RESET ,START 信号,抢答器电路产生的S 信号,计时器产生的T 信号,输出各复位和使能信号。
数电课程设计报告---数字式竞赛抢答器
数字电子技术课程设计题目数字式竞赛抢答器院系信息工程学院班级学号学生姓名指导教师完成时间2014年11月26日目录一.设计目的二.设计指标:三.总体框图设计:四.功能模块设计:五.实验仪器、工具六.元件清单七.总结一.设计目的:通过多路数字数字抢答器的设计实验,要求学生回顾所学数字电子技术的基础理论和基础实验,掌握组合电路,时序电路,编程器件和任意集成电路的综合使用和设计方法,熟悉掌握优先编码器,触发器,计数器,单脉冲触发器,555路,译码,编码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。
达到数字实验课程大纲要求掌握的基本内容。
二. 设计指标:(1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
(3)设置一个主持人“复位”按钮。
(4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。
(5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。
三.总体框图设计:整体电路分为:主电路与计分电路。
主电路:该部分由抢答与控制开关电路,优先编码电路,锁存器,译码器电路,显示电路控制电路,报警电路组成。
扩展电路:预制控制电路,计分电路,译码电路与显示电路组成。
优先编码电路选用的芯片为74ls14874ls148的引脚图与真值表:锁存电路选用的芯片是74ls279,引脚图与真值表:译码器电路选用的芯片为74ls48,引脚图和真值表:报警电路选用NE555,引脚图和真值表:扩展电路的计分电路选用芯片74ls192,引脚图和真值表:四:功能模块设计:1.抢答部分与报警部分电路原理图原理描述:芯片74ls148,74ls279,74ls48与七段共阴数码管构成抢答显示电路,选手通过按轻触开关抢答,74ls148的输入端D1,D2,D3,D4,D5,D6,D7(D0接高电平是因为输出的数字为1--7)采集开关一端的电平状态,低电平有效,D7优先级最高,并且分别编码,输出给74ls279的三个独立锁存器的s端,将判断输入有无的输出信号GS 的状态输出给74ls279的第四个锁存器(将其称为控制锁存器)的s 端,其R端接主持人复位开关的一端,Q端接另外三个锁存器的R端用来控制锁存与清零,Q端还与74ls48的灭零输入端BI/RBO相连,用来控制数码管的显示(当主持人按复位键时数码管不显示)。
抢 答 器 电 路 设 计
抢答器电路设计一、设计(shèjì)任务与要求1.可容纳(róngnà)四组参赛的数字式抢答器;2.当某台参赛者按下抢答开关(kāiguān)时,由数码管显示该台编号并伴有声响。
此时,抢答器不再接收(jiēshōu)其他输入信号;3.电路具有定时功能。
要求(yāoqiú)回答问题的时间≤60秒(显示为00~59),时间显示采用倒计时方式。
当达到限定时间时,发出声响提示;4. 具有计分功能。
要求能设定初始分值,能进行加减分;5. 在复位状态下台号数码管不作任何显示(灭灯)二、方案设计与论证1.数字抢答器总体方框图如图(1)所示为抢答器电路总体方框图。
其工作流程为:接通电源后,主持人将开关拨到“复位”状态,抢答器处于禁止状态,编号显示器灭灯;主持人将开关置“开始”状态,抢答器开始工作,等待选手抢答,采用优先判断、编号锁存、编号显示、扬声器提示;当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。
此时,抢答器不再接收其他输入信号。
则主持人宣布该选手抢答成功,同时启动定时器,让选手在规定时间内作答,时间显示采用倒计时方式。
当达到限定时间时,发出声响提示;如果再次抢答必须由主持人再次操作"复位"和"开始"状态开关。
图(1)方案(fāng àn)一、采用(cǎiyòng)74LS175构成(gòuchéng)四路抢答器,555定时器接成多谐振荡电路,提供脉冲信号,74LS148与 74LS48译码器接到七段显示(xiǎnshì)数码管显示出选手编号,74LS192设计(shèjì)成倒计时与计分部分电路。
方案二、用组合逻辑器件CD4511 构成四路抢答器。
CD4511 实现优先抢答的锁存、编号进直接把锁存器的输出转化8421BCD码,数码管显示先抢答者的编号,同时四路抢答器发出响声;主持人通过“复位”按钮清除数码管的显示和停止响声。
数字电路抢答器课程设计
数字电路课程设计智力竞赛抢答器设计报告目录一、设计题目 ..................................................... 错误!未定义书签。
二、设计要求与设计说明................................. 错误!未定义书签。
三、课题分析与设计说明................................. 错误!未定义书签。
四、设计思路及原理 ......................................... 错误!未定义书签。
五、单元设计及实现 ......................................... 错误!未定义书签。
1、抢答信号产生电路 ................................. 错误!未定义书签。
2、编码电路.................................................. 错误!未定义书签。
3、锁存电路.................................................. 错误!未定义书签。
4、译码电路.................................................. 错误!未定义书签。
5、延时电路.................................................. 错误!未定义书签。
6、振荡电路.................................................. 错误!未定义书签。
六、总体设计及实现 ......................................... 错误!未定义书签。
七、调试仿真 ..................................................... 错误!未定义书签。
电气自动化专业毕业论文题目
电气自动化专业毕业论文题目1。
无线比例电机转速遥控器的设计2. 简易数字电子称设计3。
红外线立体声耳机设计4。
单片机与PC 串行通信设计5。
100 路数字抢答器设计6。
D 类功率放大器设计7。
铅酸蓄电池自动充电器8。
数字温度测控仪的设计9。
下棋定时钟设计10。
温度测控仪设计11。
数字频率计12。
数字集成功率放大器整体电路设计13。
数字电容表的设计14. 数字冲击电流计设计15. 数字超声波倒车测距仪设计16。
路灯控制器17. 扩音机的设计18. 交直流自动量程数字电压表19. 交通灯控制系统设计20。
简易调频对讲机的设计21。
峰值功率计的设计22. 多路温度采集系统设计23. 多点数字温度巡测仪设计24. 电机遥控系统设计25。
由TDA2030A 构成的BTL 功率放大器的设计26。
超声波测距器设计27. 4—15V 直流电源设计28。
家用对讲机的设计29. 流速及转速电路的设计30. 基于单片机的家电远程控制系统设计31。
万年历的设计32。
单片机与计算机USB 接口通信33。
LCD 数字式温度湿度测量计34。
逆变电源设计35。
基于单片机的电火箱调温器36. 表面贴片技术SMT 的广泛应用及前景37。
中型电弧炉单片机控制系统设计38。
中频淬火电气控制系统设计39. 新型洗浴器设计40。
新型电磁开水炉设计41。
基于电流型逆变器的中频冶炼电气设计电气自动化专业毕业设计42. 6KW 电磁采暖炉电气设计43。
64 点温度监测与控制系统44。
电力市场竞价软件设计45. DS18B20 温度检测控制46。
步进电动机驱动器设计47。
多通道数据采集记录系统48。
单片机控制直流电动机调速系统49。
IGBT 逆变电源的研究与设计50. 软开关直流逆变电源研究与设计51。
单片机电量测量与分析系统52。
温湿度智能测控系统53. 现场总线控制系统设计54。
加热炉自动控制系统55. 电容法构成的液位检测及控制装置56。
基于CD4017 电平显示器57。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
天津大学网络教育学院
专科毕业论文
题目:100路数字抢答器设计
完成期限:2016年1月8日至 2016年4月20日
学习中心:嘉兴
专业名称:电气自动化技术
学生姓名:***
学生学号:************
指导教师:***
100路数字抢答器设计
一实验目的
1、掌握四人智力竞赛抢答器电路的设计、组装与调试方法。
2、熟悉数字集成电路的设计和使用方法。
3、掌握小型数字系统的设计方法、组装、和调试技术,将孤立、零碎的电路及
电子学知识融汇到实际产品中去。
4、巩固数字电子技术所学的理论,着重解决工程实践的动手能力、创新能力和进行综合设计的能力。
二实验要求
1. 抢答器同时供4名选手抢答,分别用4个按钮D1 ~ D4表示。
2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。
3. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,
并在LED数码管上显示,选手抢答实行优先锁存,优先抢答选手的
编号一直保持到主持人将系统清除为止。
4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如10秒)。
当
主持人启动"开始"键后,定时器进行计时(0~9)。
5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上
显示选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。
6. 如果定时时间已到,无人抢答,本次抢答无效,禁止抢答,定时显示器上显
示9,显示抢答人组号的数码管此时显示无用字符,且红灯亮。
7.在主持人未启动“开始”键前,如有抢答者则其对应的红灯亮,同时数码管显示其号码。
8、选做功能:要求不仅要显示组号,还要显示抢答的次序。
三使用元件
如图所示为总体方框图。
其工作原理为:接通电源后,主持人开关开始时接地
处于禁止状态,编号显示器灭灯,开关灯灭,定时器显示设定时间;主持人将开
关置“开始"端,宣布"开始",开关灯亮,抢答器工作,同时定时器倒计时。
选手犯规提前抢答时,抢答器完成:编号锁存、编号显示以及对应红灯亮。
选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示。
当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。
如果再次抢答必须由主持人再次将开关接地并重新复位。
数字抢答器框图:
五单元电路的设计
1、输入控制电路:
此电路要求能够区分抢答者是否违规:若输入的抢答信号是在“抢答开始”命令下达之前发出,则该抢答者犯规,输入控制电路应发出“抢答无效”信号,并配合抢先信号锁定电路使抢答者所在组对应的指示灯亮;若抢答信号是在“抢答开始”命令下达之后发出,则抢答有效。
经过分析,我认为主持人控制可以用控制电源开关来实现,判断抢答信号是否有效可以用抢先信号锁定电路配合基本的与非门和发光二极管来实现。
2、脉冲产生电路
R
4
DC
7
Q 3G N D
1
V C C
8
TR 2
TH
6
CV
5
U8
555
R3
150k
R1
4.7k
C1
4.7uf
C5
0.1u
SW1
SW -SPDT
产生脉冲的波型为
3、编码电路:
用8线-三线优先编码器(即芯片74148)来实现。
经过本人分析,并结合74148的功能表可知,当74LS75的输出端Q1’,Q2’,Q3’,Q4’分别接74148的6,5,4,3输入端时,则经过七段显示译码器后,最终输出将为1,2,3,4。
4、译码显示电路:
译码电路把“有效”或“无效”抢答的抢答者小组序号用数码管显示出来。
若超出答题时间,译码器将会一直显示为7,此时再抢答也不会起作用。
译码电路用七段显示译码器74LS48和数码管实现。
只要将各个管脚对应到连接起
来,就能正确到显示计时、犯规和抢答者的序号。
其真值表及逻辑电路图分别如图5和图6:
图6 74LS48逻辑电路图接法
5、用一片74LS161、74LS04译码器74LS48做成。
把161做成模十计数器,开始时置数端为0110,161的输出端各接一个非门,就做成了倒计时计数器,再经过48译码,数码管显示9,当从0110一直循环到1111,完成一次循环后,当它
再变成0000时,非门输出的结果是1111,这时4个信号接入一个与非门出来接到161的T CT 端,完成保持功能,主持人开关直接接到161的LD 端,而161的
P CT 端接的是74ls148的ex Y 端,161非完的输出信号接入74ls48并接数码显示
管,当有人在规定时间内抢答时,P CT 变0,161输出保持,数码显示管锁定时间,当有人提前抢答时,因为这时LD 端为0,所以161输出处于锁定状态,对计时没有影响,当10秒后无人抢答时,T CT 端为0,161又处于锁定状态,时间不变,四与非门的输出信号是和前面说过的ex Y 的非相与接入抢答电路部分的74ls48的RBO 端,10秒后无人抢答时,抢答电路的74ls48停止工作,数码显示管显示0,直到主持人重新开始重置和开始。
计时电路图:
电路图的逻辑功能测试:
由上述所有设计思路结合图7,我在电脑上用仿真系统软件对电路进行了调试,最终调试成功。
功能都符合要求。
而且我在面包板上接的电路也调试成功,各项基本功能都很完整。
调试过程如下所示:
功能测试一:有效抢答测试
在主持人控制端接高电平后74LS75中D1接高电平,即在主持人发出“抢答开始”命令后的第10秒钟,第一组有人抢答,左边电路显示抢答者组号1,同时绿灯亮,显示抢答有效,此时2号选手虽然也按下开关,但其数码管并不显示数字“2”了。
功能测试二:无人抢答测试
在主持人控制端接高电平后计时电路计到第10秒后74LS75中D4接高电平,即第四组有人在10秒后抢答。
此时视为无效抢答。
此时下边电路显示无用字符7,同时红灯亮,显示为无效抢答。
功能测试三:违规抢答测试
主持人还没按下开关,选手就开始抢答。
②当参赛选手按动抢答键时,抢答电路和定时电路停止工作。
③当设定的抢答时间到,无人抢答时,同时抢答电路和定时电路停止工作,且数码管计时为零,且红灯亮。
七. 心得体会及分析
在这次数字电路课程设计中,我充分地把数电理论知识应用于实际,感觉收获颇多。
经过翻阅和查找大量有关抢答器这方面的资料后才初步画出了各部分的电路图,经过之后的仿真和修改最终设计出了自己感觉还比较满意的整体图。
设计好的电路图要简单,可行性高,这样便于在面包办上连接电路。
连接电路前应先设置好每个芯片的位置,尽量使布线简单清楚,不能跳线,这样有便于检查问题。
插板前要先测试所用元器件是否有损坏的,否则连接好的电路将达不
到所需结果并且一旦出现问题就很难找出问题所在。
例如,数码管本身坏了而使得显示的结果是错的;由于面包板的质量不好有时会使得电路短路或断路;二极管显示红绿灯不亮,有可能正负极接反,或者忘记接地线。
各个元器件的工作电压是不能超过他本身的最大承受电压的,否则很容易损坏元器件。
这两周的实习,让我对以往所学的知识有了更深入的了解,设计电路图,考察的是自己对器件功能的认识以及自己的逻辑思维能力,连接电路,考验的是自己的耐心,而分析电路,就考察的是自己对各部分电路以及整个电路的认识,对各部分功能的准确分析。
实习的过程中肯定少不了问题,通过对问题的分析研究,可以更好的认识电路,当然,和同学一起研究出现的问题,也可以学到他们分析问题的方法,可以更好的把握整个电路。
总之,这次课程设计很好的体现了一个人分析问题和解决问题的能力。
附录(参考文献):
王毓银主编《数字电路逻辑设计》(第三版)高等教育出版社。