燕山大学EDA课程设计电子日历word文档
《EDA技术及可编程器件应用实训》课程设计报告-基于CPLD技术的数字万年历设计模板
《EDA技术及可编程器件应用实训》课程设计报告院系机械电子工程系姓名专业班级07通信技术一班学号指导老师日期:2009年 6 月10 日《EDA技术及可编程器件应用实训》课程设计报告一、设计内容:课程设计题目:基于CPLD技术的数字万年历设计。
课题设计的万年历具有年、月、日、时、分、秒计数功能,以秒、分、时、日、月、年进制循环计时;具有清零、调时功能、报时功能。
二、设计意义通过理论学习和实践动手操作,可以掌握小型数字系统的设计方法。
理论学习中了解到大规模可编程逻辑器件CPLD/FPGA的内部结构和外部特性;了解到EDA设计的方法;掌握硬件描述语言VHDL程序方法和思路;学会使用Quartus II开发平台。
通过具体的数字百年历的项目设计,可深切地领会到EDA硬件设计软件化的特点;掌握六十进制、二十四进制、十二进制、及通过语言VHDL程序来控制三十、三十一、二十八、二十九进制的选择、五选一、二选一,从而实现计数器的设计方法;同时掌握CPLD技术的层次化结构化设计方法;并能熟练使用Quartus II开发小中型数字系统,解决调试过程中所遇到的一些问题。
三、设计方法常见数字百年历具有计时和显示、报时功能。
电路设计中包括分频模块,用于将外部晶振产生的一定周期的时钟信号计数产生1s时钟信号;60进制的秒、分计数模块;24进制的时计数模块;12进制的月计数模块;通过语言选择的闰年平年天数选择模块;5选一的调时控制模块;2选一模式转换模块;另有显示模块和整点报时信号端口。
1、同步计时六十进制加法器的程序如下。
其中,cout为输出端口信号,clk为分频模块产生的秒计时信号(时钟信号),q1和q2为秒计数中十进制数所对应的高位和低位信号。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 isport(clk:in std_logic;cout:out std_logic;q1,q2:out std_logic_vector(3 downto 0));architecture behav of cnt60 isbeginvariable cq1,cq2:std_logic_vector(3 downto 0);beginif clk'event and clk='1' thencq1:=cq1+1;if cq1>9 then cq1:="0000";cq2:=cq2+1;end if;if cq2=6 and cq1=0 thencq1:="0000";cq2:="0000";cout<='1';else cout<='0';end if;q1<=cq1;q2<=cq2;end process;end;所写程序经过仿真的如上图所示。
燕大eda (2)
燕山大学EDA课程设计报告书题目:具有数字显示的洗衣机时控电路姓名:班级:学号:成绩:一、设计题目及要求(一)设计题目:具有数字显示的洗衣机时控电路(二)设计要求:1、洗衣机工作时间可在1~15分钟任意设定(正分钟数);2、规定电动机运行规律为正转20s.停10s.反转20s.停10s.再正转20s,以后反复运行;3、要求能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转;4、电机正转和反转要有指示灯指示。
二、设计过程及内容(一)设计方案:(1)首先设计一个732进制的分频器, 用3个74160构成,将732 HZ 的时钟脉冲分频为1HZ,来实现1秒的频率作时钟信号。
(2)设计一个可产生六十进制进位信号模块,用2个74160构成,每六十个时钟信号产生一个进位信号。
,实现1分钟的频率作时钟信号。
(3)设计一个控制灯的模块,在六十秒周期中前二十秒灯L1亮(表示正转),再十秒灯L3亮(表示停),再有二十秒灯L2亮(表示反转)再十秒灯L3亮(表示停)。
(4)设计一个模块,完成“洗衣机工作时间可在1~15分钟任意设定(正分钟数);能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转”的任务。
用减法计数器74191使分钟数自动减1,另外要用到扫描显示电路,将分钟的个位和十位上的数据分别用两个数码管进行显示,用以显示倒计时,显示机器的剩余工作时间。
(5)设计一个扫描电路,完成时间在数码管上显示的任务。
数码管显示电路用2个双四选一数字选择器74153和BCD—七段7449显示器构成。
74191和数码管显示电路之间需加一个将四位二进制数转换八位二进制数以实现十位和个位显示的模块。
(二)设计模块(1)732进制计数器将3个74160用整体置数法制成732进制的分频器,将732 HZ的时钟脉冲分频为1HZ,来实现1秒的频率作时钟信号,具体连接如图:仿真波形如图:(2)六十进制模块将2个74160用整体制数法构成六十进制计数器,每六十个时钟信号产生一个进位信号。
《EDA技术及应用》数字日历电路的设计
《EDA技术及应用》数字日历电路的设计1 系统设计1.1 设计要求1.1.1 设计任务设计并制作一台数字日历。
1.1.2 性能指标要求①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。
②数字日历能够显示年、月、日、时、分和秒。
③用EDA实训仪上的8只八段数码管分三屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年(如002022),一段时间段内月、日(如000101),然后在另一时间段内显示时、分、秒(如120000),两个时间段能自动倒换。
④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。
1.2 设计思路及设计框图1.2.1设计思路通过EDA课程的学习,总体设计中包括显示部分、计时部分、控制部分、校时部分、年月日部分以及分频功能。
之后运用Verilog HDL语言编写60进制、24进制、年月日模块(nyr2022.)、控制模块(contr)、校时选择模块(mux_4)、显示选择模块(mux_16)和分频器的源程序,分别生成元件符号,然后将各个模块连接起来画成原理图再下载到实训箱上,调节开关及按钮来实现数字日历的功能。
1.2.2总体设计框图2 各个模块程序的设计1.1 年月日模块clrn是异步清除输入端,低电平有效;clk是时钟输入端,上升沿有效;jn、jy和jr分别是校年、校月、校日输入端;qn[15..0]、qy[7..0]、qr[7..0]分别是年、月、日的输出端。
1.2 控制模块控制模块上的clk给的是1s时钟脉冲,k是一个两位的控制输出端,所以k可以有4个值,它与显示模块共同控制年月日,时分秒,月日显示的切换。
1.3 校时选择模块①当k=0时,开关j1,j2,j3校秒时分然后将信号分别送到jsq模块的jm(校秒)、jf(校分)、js(校时)。
②当k=1时,开关j1,j2,j3校年月日然后将信号分别送到nyr模块的jr(校日)、jy(校月)、jn(校年)。
EDA课程设计__日历_列stephen小组
EDA课程设计报告书课程名称:日历电路班级:xxx组员:xxx项目设计:日历电路设计指导老师:xxx老师日期:2016/1/1-—-2016/1/16摘要本设计为实现一个多功能的电子日历,具有年、月、日、星期计时并显示的功能;并且具有校对功能,能够对初始的时间进行人为的设定。
采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计具有电子日历功能的硬件电路,在QuartusII软件设计环境下,采用自顶向下的设计思路,分别对各个基础模块进行创建,通过各个基础模块的组合和连接来构建上层原理图,完成基于VHDL电子日历地设计。
关键词:EDA、电子日历、VHDL、QuartusII1.1设计内容与要求本次日历电路设计由小组三人组成,对EDA一学期的学习,由组员协助组长总结流程思路,设计一个基本的日历电路。
内容及要求:显示年、月、日或者时、分、秒的日历电路,精度ms。
(1)两种模式:年月日或者时分秒,数码管显示;(2)年月日、时分秒均递增可调;(3)闰月自动调整;(4)工作时钟适当即可;(5)完成全部流程:设计规范文档、代码输入、功能仿真2.1模块设计掌握年、月、日、时、分、秒以及控制部分的各功能模块程序设计的原理,进而理解电子日历的设计原理,学习并理解模块化设计的方法与思想。
用VHDL语言编写各模块程序,进一步了解和掌握各个程序语言,知道编程中的注意事项,提高编程的熟练程度。
2.2分析与解决问题通过本实验设计,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决实际问题的能力。
进一步加深对VHDL 设计的了解与认识,体会EDA的巨大作用,了解进行硬件系统设计的整个流程,对生活工作中的电子自动化有了更深一层次的了解。
2.3设计思想按照模块化的设计思想,要实现电子日历的基础功能,必定要包含年、月、日和星期的功能模块,其中,日用三十进制计数器来实现,月用十二进制计数器来实现,年的低两位和高两位都是一百进制计数器,同时每个计数器都有显示输出端和进位输出端,同时低级别(如日)的进位输出要给较高级别(如月)的时钟输入端,以此类推,采用串行工作方式进行连接。
电子课程设计自动日历表
电子课程设计自动日历表一、教学目标本课程的学习目标主要包括知识目标、技能目标和情感态度价值观目标。
知识目标要求学生掌握电子课程设计自动日历表的基本原理和实现方法;技能目标要求学生能够运用所学知识,独立设计并制作一个自动日历表;情感态度价值观目标要求学生在学习过程中,培养对科学探究的兴趣,增强团队协作意识,提高创新能力和实践能力。
二、教学内容根据课程目标,本课程的教学内容主要包括自动日历表的原理、设计方法和制作技巧。
教学大纲安排如下:1.第一章:自动日历表概述,介绍自动日历表的定义、功能和应用场景。
2.第二章:自动日历表的原理,讲解自动日历表的工作原理和相关电子元件。
3.第三章:自动日历表的设计,介绍自动日历表的设计方法和步骤。
4.第四章:自动日历表的制作,讲解自动日历表的制作技巧和注意事项。
5.第五章:自动日历表的调试与优化,介绍如何对自动日历表进行调试和优化。
三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式。
包括:1.讲授法:用于讲解自动日历表的原理、设计方法和制作技巧。
2.讨论法:学生针对实际案例进行讨论,培养学生的思考和分析能力。
3.案例分析法:分析典型案例,使学生更好地理解和掌握自动日历表的设计与制作。
4.实验法:安排学生动手制作自动日历表,提高学生的实践能力和创新能力。
四、教学资源本课程所需教学资源包括:1.教材:选用国内知名出版社出版的电子课程设计自动日历表相关教材。
2.参考书:推荐学生阅读与自动日历表相关的电子技术书籍。
3.多媒体资料:制作精美的PPT,用于辅助讲解和展示。
4.实验设备:提供充足的实验设备,确保每个学生都能动手实践。
5.在线资源:推荐学生访问相关电子技术,了解行业动态和先进技术。
五、教学评估本课程的教学评估将采用多元化评价方式,以全面、客观、公正地评估学生的学习成果。
评估内容包括:1.平时表现:评价学生在课堂上的参与度、提问回答、小组讨论等,占总评的20%。
EDA实训万年历
《EDA技术与应用》实训报告学号姓名指导教师:实训题目:数字日历1.系统设计1.1 设计要求1.1.1 设计任务:设计一个数字日历电路1.1.2 技术要求:⑴用Verilog HDL语言设计一个数字日历。
⑵数字日历能正确显示年、月、日、时、分和秒。
⑶通过开关分别控制对年、月、日或时、分、秒校对及自动切换、,并且运用按钮对年、月、日或时、分、秒校对。
⑷通过按钮对数码管全部清零。
⑸拓展功能:添加时钟闹钟功能,并通过LED管显示。
1.2 方案比较:由于这次实验用到的仪器是EDA实训仪,数码管个数只有八个,因此不能同时显示年、月、日、时、分、秒。
但是实训仪的LED管只有12个,因此在拓展功能时12小时做一个周期的整点记时显示。
在多次的测试和修改后,得到此最好方案。
1.3 方案论证1.3.1 总体思路:通过EDA课程的学习,可知运用Verilog HDL语言设计一个数字日历括计时器模块(jsq60、jsq24)、年月日模块(nyr2009)、控制模块(conr)、校时选择模块(mux_4)、显示选择模块(mux_16)和闹钟定时模块(LED1)、闹钟灯模块(nzd);然后将各个模块连接起来画成原理图再下载到实训仪上,调节开关及按钮来实现数字日历的功能。
1.3.2 设计方案:总原理图如下:2.各个模块程序的设计(1)设计一个分频器进行20MHz分频得到实训所需的1Hz的频率分析:这是个20MHZ时钟的分频器,将原来EDA实训仪提供的频率为20MHZ 的时钟转换成频率1HZ的时钟,然后输出到有需要的端口,触发模块起作用。
(2)分别设计一个能显示年月日和时分秒的程序校准年月日和秒分时的原理图如下:分析:①当k=0时,开关j1,j2,j3校秒时分然后将信号分别送到jsq24模块的jm(校秒)、jf(校分)、js(校时)。
②当k=1时,开关j1,j2,j3校年月日然后将信号分别送到nyr2009模块的jr(校日)、jy(校月)、jn(校年)。
燕山大学_EDA_课程设计_循环彩灯控制.
燕山大学课程设计说明书题目:彩色信号灯循环控制学院(系):电气工程学院年级专业: 10电力四班学号: 100103030181学生姓名:金琳指导教师:张强、吕宏诗教师职称:实验师实验师燕山大学课程设计(论文)任务书院(系):电气工程学院基层教学单位:电子实验中心年月日目录一、设计说明 (4)1.1 设计思路 (4)1.2 模块介绍 (4)1.4 循环控制模块的电路原理图 (4)1.3 真值表及功能分析 (6)二、电路原理图 (8)2.2控制电路的电路原理图 (8)2.3总设计原理图 (9)三、波形仿真图 (9)3.1 总体电路的波形仿真图 (10)四、管脚锁定及硬件连线 (11)4.1输入信号的管脚锁定及硬件连线 (11)4.2 输出信号的管脚锁定及硬件连线 (11)五、心得体会 (13)参考文献 (13)一、设计说明1.1设计思路控制一组3×3指示灯的显示方式如下:L17至L9按顺序依次点亮至全亮,接着L13灭→L10、L12、L16、L14一起灭→L9、L15、L17、L11一起灭。
循环。
为了实现所规定的要求,设计思路为:将要求分为两步,首先将L17至L9按顺序依次点亮至全亮,本步骤可由移位寄存器的移位置数功能,将两片74194进行进位连接,给予第一片SRSI 一个高电平后,移位计数器向右依次移动发出高电平使L19至L9依次点亮至全亮;接下来使用第三片74194向右移位发出低电平,通过与门的逻辑运算分三次熄灭所有彩灯,此时CLRN信号清零所有芯片,循环进入下一周期。
通过对设计要求的分析,可以得出如下结论和相应的解决方案:1、通过将两片74194移位寄存器的级联以及一片D触发器实现对右移位数的扩展,将三片接入同一时钟信号;2、为了实现对彩灯的分组清零,设计时应采用与门实现对输出口的控制作用;3、第三片74194通过L9的高电平触发移位,L9为低电平时输出端为零导致“与”逻辑运算后L17至L9始终为低电平状态,为了解决这一问题,采用三组反相器与第三片移位寄存器输出端口相联实现对逻辑的纠正;4、在整个周期中,L10和L12、L16和L14的亮灭状况始终相同,为了减小电路的复杂度,将L10和L12、L16和L14的选择线分别连接;5、设计逻辑门电路实现变频功能。
eda课程设计日历
eda课程设计日历一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和方法,能够运用eda 工具进行电子系统设计和分析。
具体来说,知识目标包括了解eda的基本概念、掌握eda的基本原理和方法、熟悉eda工具的使用;技能目标包括能够运用eda 工具进行电子系统设计和分析、能够进行eda项目的实施和管理;情感态度价值观目标包括培养学生对eda技术的兴趣和热情、培养学生团队合作意识和创新精神。
二、教学内容根据课程目标,本课程的教学内容主要包括eda的基本概念、原理和方法,以及eda工具的使用。
具体来说,教学大纲如下:1.eda的基本概念:介绍eda的定义、发展历程和应用领域。
2.eda的基本原理:介绍eda的基本原理,包括电路描述、逻辑设计、模拟和验证等。
3.eda的方法:介绍eda的方法,包括硬件描述语言、电路图设计、仿真和综合等。
4.eda工具的使用:介绍常用的eda工具,如altium designer、cadence、synopsys等,以及如何运用这些工具进行电子系统设计和分析。
三、教学方法为了实现课程目标,本课程将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法等。
具体来说:1.讲授法:通过教师的讲解,使学生了解和掌握eda的基本概念、原理和方法。
2.讨论法:通过学生的讨论,培养学生的思考能力和团队合作意识。
3.案例分析法:通过分析实际案例,使学生了解eda工具的使用和电子系统设计的过程。
4.实验法:通过实验,使学生掌握eda工具的使用,培养学生的实践能力和创新精神。
四、教学资源为了支持教学内容和教学方法的实施,本课程将选择和准备以下教学资源:1.教材:选择合适的eda教材,作为学生学习的主要参考资料。
2.参考书:提供相关的参考书籍,丰富学生的学习资源。
3.多媒体资料:制作课件、视频等多媒体资料,增强课堂教学的趣味性和生动性。
4.实验设备:准备实验设备,为学生提供实践操作的机会。
eda电子日历课程设计
eda电子日历课程设计一、教学目标本课程旨在通过eda电子日历的项目制作,让学生掌握eda基本知识,培养学生的实践能力和创新精神。
知识目标:使学生了解eda的基本概念,掌握基本的电子元件知识和电路设计原理。
技能目标:培养学生运用eda工具进行电子设计的能力,提升学生的实践操作技能。
情感态度价值观目标:培养学生对科技创新的热爱,增强学生的自主学习和团队协作意识。
二、教学内容本课程的教学内容主要包括eda基础知识、电子元件的认识和使用、电路设计原理、eda工具的使用等。
教学大纲安排如下:1.第一周:eda基础知识介绍2.第二周:电子元件的学习3.第三周:电路设计原理讲解4.第四周:eda工具的使用和项目实践三、教学方法本课程将采用讲授法、讨论法、实验法等多种教学方法,以激发学生的学习兴趣和主动性。
1.讲授法:用于讲解eda基本知识和电路设计原理。
2.讨论法:用于引导学生进行思考和探讨,培养学生的创新思维。
3.实验法:通过实际操作,使学生掌握eda工具的使用和电子日历项目的制作。
四、教学资源教学资源包括教材、参考书、多媒体资料和实验设备等。
1.教材:选用《eda技术与应用》作为主教材,辅助以相关参考书籍。
2.多媒体资料:制作课件和教学视频,以直观展示电子日历的制作过程。
3.实验设备:配备必要的实验设备和工具,如电脑、eda软件、电子元件等。
五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分,以保证评估的客观性和公正性。
1.平时表现:通过课堂参与、小组讨论和实验操作等环节,评估学生的学习态度和实际操作能力。
2.作业:布置相关的eda设计和分析作业,评估学生的理解和应用能力。
3.考试:期末进行理论知识和实践操作的考试,全面评估学生的学习成果。
六、教学安排本课程的教学安排将在每周的一、三、五进行,每次课时长为2小时,地点为实验室。
1.第一周:介绍eda基本知识和电子元件。
2.第二周:学习电路设计原理和eda工具的使用。
EDA课设完整版
燕山大学课程设计说明书第一章设计思路1.1 设计目的及解决方法1.2 模块介绍 1.3 真值表第二章设计原理图第四章4.1 管脚锁定4.2 硬件连接第五章心得体会燕山大学课程设计说明书第一章设计思路1.1 设计目的及解决方法根据任务书可以得到以下任务目的及解决方法:1) 选用行扫描,用一片3-8 译码器进行;2) 分别用一片3-8 译码器控制列,与控制行的3-8 译码器配合,在8-8 矩阵中分别显示出“E” “D” “A” ;3) 为达到行扫描的目的和保证控制行的与控制列的3-8 译码器同步,选用一片八进制计数器同时控制四个3-8 译码器;4)为达到“E” “D”“A”分别显示和视觉延时效果,用一片计数器的不同数制分别控制三个控制列的译码器5)为了达到“E” “D”“A”的视觉延时效果,需要控制行扫描的八进制计数器的时钟脉冲频率高,而控制列的计数器的时钟脉冲频率低(并且要保证高频率是低频率的8 的整数倍)。
1.2 模块介绍根据设计目的及解决方法可以将整个设计分为四个模块:模块一:控制行扫描的模块用一片3-8 译码器控制行低电平从第一行到第八行依次出现模块二:控制显示“E” “A”的模块“D” 共15 页第4页燕山大学课程设计说明书根据真值表,分别用一片3-8 译码器配合模块一控制列电平的高低是8-8 矩阵依次显示“E” “D” “A”模块三:基本扫描模块:即控制四片3-8 译码器基本扫描的八进制译码器其中输入为高频率时钟信号模块四:片选延时模块即控制显示模块的计数器,这里的输入为低频率时钟信号(模块三的高频输入信号是这里低频信号的8 的整数倍)1.3 真值表基本扫描频率真值表模显示“E”“D” “A”真值表输入:C,为高频率时钟信号C1,为低频率时钟信号输出:Y20,Y21,Y22,Y23,Y24,Y25, Y26, Y27,为控制行的输出信号Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7,为控制LED 的输出信号第三章波形仿真图因篇幅限制,波形仿真图中只连续出现两次E,两次D,两次A,已达到视觉延时效果。
电子日历课程设计(完整版)
�1�电子钟具有显示年、月、日、时、分、秒及星期功能�可识别闰年. �2�给出流程图�编写并调试程序。 �3�撰写设计报告。 ⒉设计要求� ⑴完成系统的软件设计及调试。
⑵完成系统的硬件流程图。
指导教师�签字��
2.1 硬件电路原理 ............................................... 2 2.1.1 LED 显示 .............................................. 2
2.2 软件设计思想及流程图 ...................................... 4 2.2.1�软件设计思想 ........................................ 4 2.2.2 程序设计模块 ......................................... 4 2.2.3 汇编源程序 ........................................... 10
1 课题描述
在现今的电子日历具有性能稳定、精确度高、成本低、易于产品化�以及方便、实用 等特点。本次设计可分为两部分�硬件系统、软件系统。利用 LED 显示器能显示出当前 的时间包括年月日时分秒并且能计算出闰年的功能的电子日历装置。由于 LED 显示器只 有六个数码管所以不能同时显示年月日和时分秒。所以通过键盘的按键来决定显示的是年 月日还是时分秒�利用单片机将 RC 复位电路、动态显示电路、电源电路、去抖电路等正 确的连接在一起�并通过单片机的编程来实现本次设计任务中的要求
教研室意见 总成绩�
答辩教师签名� 年月日
室主任签名� 年月日
eda日历电路路课程设计
eda日历电路路课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握日历电路的设计原理;2. 学生能够运用所学知识,设计并实现一个功能齐全的日历电路;3. 学生了解日历电路在实际应用中的重要性,如时间计算、日期显示等。
技能目标:1. 学生能够运用EDA软件进行电路设计,提高实际操作能力;2. 学生通过小组合作,培养团队协作能力和沟通能力;3. 学生能够分析并解决日历电路设计过程中遇到的问题,提升问题解决能力。
情感态度价值观目标:1. 学生对电子设计产生兴趣,培养探索精神和创新意识;2. 学生在学习过程中,树立正确的价值观,认识到科技发展对社会进步的重要性;3. 学生通过自主学习和合作交流,培养自信心和责任感。
本课程旨在让学生将所学理论知识与实际操作相结合,提高学生的实践能力。
针对学生的年龄特点和认知水平,课程设计注重启发式教学,引导学生主动探索、积极思考。
同时,注重培养学生的团队合作精神,提升学生的综合素质。
通过本课程的学习,为学生今后的电子设计及相关领域的学习打下坚实基础。
二、教学内容本课程依据课程目标,结合教材内容,制定以下教学大纲:1. EDA基本概念与原理- EDA软件介绍与安装- EDA设计流程及方法2. 日历电路设计原理- 日历电路的功能与组成- 常见日历电路的设计方法3. EDA软件操作与实践- EDA软件界面及功能介绍- 日历电路的绘制与仿真4. 日历电路设计与实现- 设计要求及注意事项- 小组合作进行电路设计- 电路调试与优化5. 成果展示与评价- 各小组展示设计成果- 学生互评与教师评价- 总结与反思教学内容按照以上大纲进行安排和进度控制,共计10个课时。
教材章节关联如下:1. EDA基本概念与原理:教材第1章2. 日历电路设计原理:教材第2章3. EDA软件操作与实践:教材第3章4. 日历电路设计与实现:教材第4章5. 成果展示与评价:教材第5章三、教学方法本课程根据教学内容和课程目标,选择以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:教师通过讲解EDA基本概念、原理及日历电路设计方法,为学生奠定理论基础。
EDA课程设计—闹钟(燕山大学)
燕山大学课程设计说明书题目:闹钟学院(系):电气工程学院年级专业: 11级计控1班学号: 110103010052 学生姓名:袁翔指导教师:李建霞吕宏诗教师职称:实验师实验师燕山大学课程设计(论文)任务书院(系):电气工程学院基层教学单位:电子实验中心说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。
目录第一章设计说明┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄3一、设计要求┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄3二、功能拓展┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄3三、设计思路┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄3四、模块介绍┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄3五、真值表┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄6 第二章原理图┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄7 MAX+plus 2原理图设计┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄7 第三章波形仿真┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄8 MAX+plus 2 simulator仿真┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄8 第四章硬件设计┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄9一、管脚锁定┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄9二、硬件连线┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄9 第五章总结┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄10 参考文献┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄┄11第一章设计说明一、设计要求设计简易的一分钟闹钟,具体参数如下:1、可手动输入定时时间(0~59s),如30s;2、两个动态数码管上跟踪显示时间的变化:如30,29,28……到了指定时间蜂鸣器发出8s的提示音;3、有暂停闹钟可使两动态数码管倒计时暂停;4、拨码开关设置定时时间。
燕山大学EDA课程设计电子日历
燕山大学EDA课程设计报告书姓名:班级:学号:日期:一、设计题目:电子日历二、设计要求1.能显示年、月、日、星期,如01.11.08 6,星期日显示82.年、月、日、星期可调3.不考虑闰年三、设计思路为实现本电路要求,采取模块电路设计方法。
本电路系统主要包括以下三大模块:1.计数模块2.控制模块3.译码器显示模块四、设计过程1. 计数模块1)星期计数模块由于星期日要显示8,一般芯片难以实现,可通过四个JKFF 触发器设计而成。
电路图如下:波形仿真图如下:2)天计数模块通过两个74160芯片作计数电路和DFF作去毛刺电路设计而成,若没有去毛刺电路,在硬件仿真时,月计数会出现连续计两次的情况。
由于不同月份有不同天数(31、30、28),故需要三个输入端(C31、C30、C28)选择计数的进制,同一时刻只能有一个有效(输入高电平)。
电路图如下:C30端有效时的波形仿真图如下:3)月计数模块通过两个74160芯片设计而成,电路图如下:波形仿真图如下:4)月计数对天计数的反馈模块通过画真值表列出逻辑表达式,从而设计出如下电路:波形仿真图如下:5)年计数模块通过两个74160芯片设计而成,为了实现可调,将年个位对十位的进位输出端C先到控制模块上。
电路图如下:波形仿真图如下:2. 控制模块时钟脉冲经CP端输入,K、Kweek、Kday、Kmonth、Kyear1、Kyear2为手动控制端。
K端输入高电平时为调节状态,输入低电平时为自动计数状态。
电路图如下:3. 译码器显示模块该模块由一个74160芯片、四个数据选择74151和译码驱动器7449组成。
电路图如下:连接控制模块和计数模块。
电路图如下:该部分波形仿真图如下:在其中可以发现,月比天滞后1.5个时钟周期(其中1个时钟周期是由于天计数模块的去毛刺电路产生的),年个位比月滞后0.5个时钟周期,年十位比年个位滞后0.5个时钟周期。
由于时间有限,知识经验不足等限制,在答辩前仍未解决该问题。
2019年燕山大学EDA课程设计电子日历.doc
一、设计题目及要求1.题目:电子日历2.要求:(1).能显示年、月、日,星期;(2).例如:“01.11.08 6”,星期日显示“8”;(3).年月日,星期可调;(4).不考虑闰年。
二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三大模块:.1: 电子日历记数模块2: 中间控制模块3: 译码器显示模块由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,通过不同的月选择相应的天数:比如二月二十八天,十二月三十一天,……..这是利用真值表列出逻辑表达式,从而画出电路图如图1:仿真图如下:设计过程:1、电子日历记数模块1)、实现星期计时:为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,即可通过四个jk触发器设计而成。
其电路图如下:仿真图如下:2)、实现天数计时:由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。
其电路原理图3)、实现月份及年份计时:由用两个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。
然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。
月份计数器电路原理图如下:年份计数器电路图如下:仿真图如下:2、中间控制模块时钟脉冲经cp输入端引入,控制端有:K,Kweek,Kday,Kmonth,Kyear1,Kyear2当接入电源时,须按K按钮进行调整到某一时间即正常计时。
按下K时,同时按其它的任一控制端,即可完成对相应的控制及调整。
EDA日历设计
湖南工程学院课程设计任务书课程名称:EDA技术题目:基于FPGA的具有闰年补偿功能的数字日历专业: 电子科学与技术班级:班学生姓名:学号:指导老师:审批:任务书下达日期2014年12月8日星期一设计完成日期2014年12月19日星期五目录一、设计总体思路1.1课程设计内容-------------------------------------------------1 1.2课程设计要求-------------------------------------------------1 1.3设计总体思路-------------------------------------------------21.4设计框图-------------------------------------------------------3二、单元模块设计2.1天模块----------------------------------------------------------4 2.2月模块----------------------------------------------------------5 2.3年模块. ---------------------------------------------------------7 2.4星期模块-------------------------------------------------------8 2.5提醒模块-------------------------------------------------------9 2.6控制模块------------------------------------------------------102.7显示模块------------------------------------------------------11三、总电路设计--------------------------------------------------13四、电路调试----------------------------------------------------14五、设计调试体会与总结--------------------------------------16六、附录-----------------------------------------------------------17七、参考文献-----------------------------------------------------18 附:课程设计评分表一、设计总体思路1.1课程设计内容用FPGA为核心器件,用VHDL为设计手段设计制作一个具有大小月份自动调节和闰年补偿功能的数字日历,具体设计要求如下:1、用7个数码管从左到右分别显示年(后两位)、月、日和星期;星期与日之间隔开一位。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
一、设计题目及要求
1.题目:电子日历
2.要求:
(1).能显示年、月、日,星期;
(2).例如:“01.11.08 6”,星期日显示“8”;
(3).年月日,星期可调;
(4).不考虑闰年。
二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)
为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三
大模块:.
1: 电子日历记数模块
2: 中间控制模块
3: 译码器显示模块
由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,
通过不同的月选择相应的天数:比如二月二十八天,十二月三十一天,……..这
是利用真值表列出逻辑表达式,从而画出电路图如图1:
仿真图如下:
设计过程:
1、电子日历记数模块
1)、实现星期计时:
为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,即可通过四个jk触发器设计而成。
其电路图如下:
仿真图如下:
2)、实现天数计时:
由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。
其电路原理图
3)、实现月份及年份计时:
由用两个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。
然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。
月份计数器电路原理图如下:
年份计数器电路图如下:
仿真图如下:
2、中间控制模块
时钟脉冲经cp输入端引入,控制端有:K,Kweek,Kday,Kmonth,Kyear1,Kyear2当接入电源时,须按K按钮进行调整到某一时间即正常计时。
按下K时,同时按其它的任一控制端,即可完成对相应的控制及调整。
电路原理图如下:
部分仿真图如下:
3、译码器显示模块
完成内部模块设计之后,需要显示出来,即用扫描电路将星期,年、月、日在显示管上显示出来。
扫描电路由74160构成的八进制计数器,四个八选一数据选择器74151及7449构成,如图四所
整个电路图如下:
当接入电源时,须按clan 按钮一次使月份为1时,可计时及完成相关控制
调整功能。
三、设计结论(包括设计过程中出现的问题;对EDA课程设计感想、意见和建议)
这次EDA课程设计中遇到了很多问题,星期的计时问题,不是一个简单的7进制计数器就能实现的,后来通过真值表找出逻辑关系终于实现了。
还有就是月份与天数的问题,因为不同的月份决定不同的天数,还需要月份给天数一个反馈,设计一个判断模块来判断天数。
控制模块也想了
很长时间。