实验四 集成触发器
计数器的设计实验报告
![计数器的设计实验报告](https://img.taocdn.com/s3/m/0935a68b0029bd64793e2c15.png)
计数器的设计实验报告篇一:计数器实验报告实验4 计数器及其应用一、实验目的1、学习用集成触发器构成计数器的方法2、掌握中规模集成计数器的使用及功能测试方法二、实验原理计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。
根据计数的增减趋势,又分为加法、减法和可逆计数器。
还有可预置数和可编程序功能计数器等等。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。
1、中规模十进制计数器CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。
图5-9-1 CC40192引脚排列及逻辑符号图中LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端BO—非同步借位输出端D0、D1、D2、D3 —计数器输入端Q0、Q1、Q2、Q3 —数据输出端CR—清除端CC40192的功能如表5-9-1,说明如下:表5-9-1当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。
当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。
当CR为低电平,LD为高电平时,执行计数功能。
执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行8421 码十进制加法计数。
执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。
加法计数表5-9-减计数2、计数器的级联使用一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。
数电实验报告之集成触发器word精品文档8页
![数电实验报告之集成触发器word精品文档8页](https://img.taocdn.com/s3/m/479c2c86da38376bae1fae42.png)
数字逻辑与数字系统设计实验报告——D、JK触发器与广告流水灯异步时序电路VHDL语言仿真学院电子工程学院班级卓越001012班学号 00101201一.实验目的1.了解集成触发器的工作原理。
2.对Quartus II 软件使用操作有初步的了解,能用该软件进行简单的VHDL语言编程与功能仿真3、掌握VHDL设计实体的基本结构及文字规则。
二.实验仪器1.计算机一台2.万用表一块3.直流稳压电源一台4.数字电路实验板一台(含cyclone—II FPGA芯片)5.数据下载线,JTAG连接线若干三.实验内容用VHDL代码输入的方法设计以下三个电路功能,并进行全程编译,执行功能和时序仿真。
1.用VHDL语言描述D触发器功能。
2.用VHDL语言描述JK触发器功能。
3.用VHDL语言描述以下功能:用双D触发器74LS74和与非门74LS00设计一个广告流水灯同步时序电路,广告流水灯有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态图如图5-11所示,图中¤表示灯亮,◎表示灯暗。
四.实验数据记录与处理1. D触发器1)VHDL语言library ieee;use ieee.std_logic_1164.all;entity Dflipflop isport(D,clock :in std_logic;Q :out std_logic);end Dflipflop;architecture behavior of Dflipflop isbeginProcess (clock)beginif clock'event and clock='1' thenQ<=D;end if;end process;end behavior;2)功能仿真建立波形文件,功能仿真结果如下:3)时序仿真建立波形文件,时序仿真结果如下:2.JK触发器1)VHDL语言LIBRARY ieee ;USE ieee.std_logic_1164.all ;ENTITY jkflipflop ISPORT ( Clock : IN STD_LOGIC ;J,K : IN STD_LOGIC ;Q : OUT STD_LOGIC) ;END jkflipflop ;ARCHITECTURE Behavior OF jkflipflop ISSIGNAL Q1: STD_LOGIC ;BEGINPROCESS ( Clock )BEGINIF Clock'EVENT AND Clock = '1' THENQ1 <= (J AND NOT Q1)OR(NOT K AND Q1);END IF ;Q <= Q1;END PROCESS ;END Behavior ;2)功能仿真建立波形文件,功能仿真结果如下3)时序仿真建立波形文件,时序仿真结果如下:3. 广告流水灯1)VHDL语言LIBRARY ieee ;USE ieee.std_logic_1164.all ;ENTITY fd2 ISPORT ( Clock : IN STD_LOGIC ;Q : OUT STD_LOGIC);END fd2 ;ARCHITECTURE Behavior OF fd2 ISSIGNAL D : STD_LOGIC ;BEGINPROCESS ( Clock )BEGINIF Clock'EVENT AND Clock = '1' THEND <= NOT D ;END IF ;Q <= D;END PROCESS ;END Behavior ;LIBRARY ieee ;USE ieee.std_logic_1164.all ;PACKAGE fd2_package ISCOMPONENT fd2PORT ( Clock : IN STD_LOGIC ;Q : OUT STD_LOGIC);END COMPONENT ;END fd2_package;LIBRARY ieee ;USE ieee.std_logic_1164.all ;LIBRARY work ;USE work.fd2_package.all;ENTITY fd4 ISPORT ( Clock : IN STD_LOGIC ;Q0,Q1 : OUT STD_LOGIC); END fd4 ;ARCHITECTURE Structure OF fd4 ISSIGNAL W : STD_LOGIC ;BEGINS0: fd2 PORT MAP( CLOCK, W );Q0 <= W;S1: fd2 PORT MAP( W, Q1 );END Structure ;LIBRARY ieee ;USE ieee.std_logic_1164.all ;PACKAGE fd4_package ISCOMPONENT fd4PORT ( Clock : IN STD_LOGIC ;Q0,Q1 : OUT STD_LOGIC);END COMPONENT ;END fd4_package;LIBRARY ieee ;USE ieee.std_logic_1164.all ;LIBRARY work ;USE work.fd4_package.all;ENTITY liushuideng ISPORT ( Clock : IN STD_LOGIC ;Q0,Q1 : OUT STD_LOGIC ;L : OUT STD_LOGIC_VECTOR(0 TO 3)); END liushuideng ;ARCHITECTURE Structure OF liushuideng ISSIGNAL W0,W1 : STD_LOGIC ;BEGINS0: fd4 PORT MAP( CLOCK, W0, W1 );Q0<= W0;Q1<= W1;L(0)<= (NOT W0) OR (NOT W1);L(1)<= W0 OR (NOT W1);L(2)<= (NOT W0) OR W1;L(3)<= W0 OR W1;END Structure ;2)功能仿真建立波形文件,功能仿真结果如下3)时序仿真建立波形文件,时序仿真结果如下:希望以上资料对你有所帮助,附励志名3条:1、积金遗于子孙,子孙未必能守;积书于子孙,子孙未必能读。
集成触发器及应用
![集成触发器及应用](https://img.taocdn.com/s3/m/22f4720a79563c1ec5da7155.png)
4. 实验内容及要求
JK触发器74LS112的逻辑功能 触发器74LS112的逻辑功能。 (1)测试 JK触发器74LS112的逻辑功能。
(2)测试双D触发器74LS74的逻辑功能。 测试双D触发器74LS74的逻辑功能。 74LS74的逻辑功能
74LS112双JK触发器转换成 触发器, 触发器转换成D (3) 将74LS112双JK触发器转换成D触发器, 进行逻辑功能的测试和触发方式观察,列表记录。 进行逻辑功能的测试和触发方式观察,列表记录。 (4)广告流水灯的设计:该系统共有8个灯,其效果始终是7亮1暗, 广告流水灯的设计:该系统共有8个灯,其效果始终是7 且这1暗灯循环右移或者左移。 且这1暗灯循环右移或者左移。 提示:先应用74LS112和74LS74中三个触发器构成异步八进制加法和 提示:先应用74LS112和74LS74中三个触发器构成异步八进制加法和 74LS112 减法计数器;再将输出Q2Q1Q0分别与74LS138(3-8译码器) 减法计数器;再将输出Q 分别与74LS138( 译码器) 74LS138 的码端A2A1A0相连,使译码器相继译码。 的码端A 相连,使译码器相继译码。 画出设计图,接电路,观察并分析结果,画出状态转移真值表, 画出设计图,接电路,观察并分析结果,画出状态转移真值表, 以及译码器的功能表。 以及译码器的功能表。 选做) (5)单脉冲发生器实验 (选做) 74LS74双 型触发器,设计一个单发脉冲发生器的实验线路。 用74LS74双D型触发器,设计一个单发脉冲发生器的实验线路。要 求将频率为1Hz的信号脉冲和手控触发脉冲分别作为两个触发器的 的信号脉冲和手控触发脉冲分别作为两个触发器的CP 求将频率为1Hz的信号脉冲和手控触发脉冲分别作为两个触发器的CP 脉冲输入。只要手控脉冲送出一个脉冲, 脉冲输入。只要手控脉冲送出一个脉冲,该脉冲与手控触发脉冲的时 间长短无关。 间长短无关。
《集成触发器》课件
![《集成触发器》课件](https://img.taocdn.com/s3/m/75b6f94653ea551810a6f524ccbff121dd36c5a0.png)
由于触发器在事件发生时自动执行,减少了 人工干预,降低了出错的可能性。
可扩展性
通过集成多个触发器,可以实现更复杂的业 务逻辑,满足不断变化的业务需求。
灵活性
可以根据实际需求配置触发器的行为,实现 个性化的业务处理。
局限性
性能开销
集成触发器在处理大量事件时可能会 对系统性能产生影响。
复杂性
由于集成触发器的使用涉及到业务逻 辑的编写和配置,使用不当可能导致 系统变得复杂和难以维护。
这种触发器在一定时间 间隔后执行特定操作。
按结构分类
01
02
03
04
简单触发器
只有一个操作,当满足特定条 件时执行。
复合触发器
包含多个操作,当满足特定条 件时按照一定顺序执行。
嵌套触发器
一个触发器内部包含另一个触 发器,当外部触发器满足条件
时,内部触发器执行。
链式触发器
多个触发器依次链接,前一个 触发器的输出作为后一个触发
测试与验证
功能验证
验证触发器是否实现了所有预期的功能。
性能验证
验证触发器的性能是否满足预期要求。
05
集成触发器的应用案例
案例一:智能家居系统中的应用
总结词
智能家居控制
详细描述
集成触发器在智能家居系统中用于控制家电设备的自动化运行,通过预设条件触发相应 的操作,如自动开启空调、调节灯光亮度等。
案例二:工业自动化系统中的应用
《集成触发器》ppt课 件
目 录
• 集成触发器概述 • 集成触发器的分类 • 集成触发器的优势与局限性 • 集成触发器的设计与实现 • 集成触发器的应用案例 • 集成触发器的发展趋势与展望
01
集成触发器功能测试及转换_实验报告(1)
![集成触发器功能测试及转换_实验报告(1)](https://img.taocdn.com/s3/m/83ae5e16964bcf84b9d57bf7.png)
深圳大学实验报告课程名称:数字电路实验项目名称:集成触发器功能测试及转换学院:专业:指导教师:报告人:学号:班级:实验时间:实验报告提交时间:教务处制一、实验目的:1.熟悉并掌握RS、D、JK触发器的构成,工作原理和功能测试方法;2.掌握不同逻辑功能触发器的相互转换;3.常我三态触发器和锁存器的功能及使用方法;4.学会触发器、三态触发器、锁存器的应用。
二、实验仪器:1.双踪示波器2.RXS-1B数字逻辑电路实验箱3.器件74LS74 双上升沿D触发器74LS76 双下降沿JK触发器三、实验任务:任务一:维持-阻塞型D触发器功能测试双上升沿触发维持-阻塞D触发器74LS74的引脚排列图如图3-19所示。
图中SD,RD端异步置1端,置0端(或称异步位置,复位端)。
CP为时钟脉冲端。
试按下面步骤做实验:1.分别在SD,RD端加低电平,观察并记录Q,Q端的状态。
注意:当SD,RD端同时加低电平时,输出将为高电平,但是此事如果SD,RD端再同时加高电平,对应的输出状态是不确定的。
2.令SD,RD端为高电平,D端分别接入高、低电平,用手动脉冲作为CP,观察并记录当CP为0-1时Q端状态。
3.当SD=RD=1,CP=0(或CP=1),改变D端信号,观察Q端的状态是否变化?整理上述实验室据,将结果填入表3-5中。
4.令SD=RD=1,将D和Q端相恋,CP加入连续脉冲,用双踪示波器观察并记录Q相对于CP的波形。
表3-5D触发器74LS74功能表SD RD CP D Q Q0 1 X X 011 0 X X 011 1 0 011 1 1 01任务二:下降沿J-K触发器功能测试双J-K下降沿触发器74LS76芯片的引脚排列图如图3-20所示。
自拟实验步骤,测试器功能并将结果填入表3-6中。
表3-6 双J-K触发器功能测试SD RD CP J K Q Q0 1 X X X X1 0 X X X X1 1 0 X 01 1 1 X 01 1 X 0 11 1 X 1 1若令J=K=1时,CP端加入连续脉冲,用双踪示波器观察Q-CP波形,并于D触发器D和Q 端相连时观察到的Q端波形相比较,有何异同点?任务三:触发器功能转换1.将D触发器和J-K触发器转换成T触发器,列出表达式,画出实验连接图;2.接入连续脉冲,观察各触发器CP及Q端波形,比较两者关系;3.自拟实验数据表并填写之。
(集成电路应用设计实验报告)触发器
![(集成电路应用设计实验报告)触发器](https://img.taocdn.com/s3/m/b9662da3dd3383c4bb4cd217.png)
触发器一、实验器材(设备、元器件):1,数字、模拟实验装置(1台); 2,数字电路实验板(1块);3,74LS10、74LS00、74LS153、74LS74、74LS76芯片; 4,双踪示波器(1台); 5,函数信号发生器(1台)。
二、实验内容及目的:1,学习触发器逻辑功能的测试方法; 2,掌握集成触发器的逻辑功能;3,学习J —K 触发器和D 触发器的功能测试。
三、实验步骤:1、设计一个三人表决器(用74LS10和74LS00实现)74LS00是集成了四个单元的三输入端、一个输出端口的与非门,74LS10是集成了三个单元的三输入端、一个输出端口的与非门。
由三人表决器真值表得出其输出表达式为:CA BC AB ∙∙。
故右用74LS10和74LS00实现三人表决器连接。
2,设计一个三人表决器(用74LS153实现)74LS153是集成了两个单元的四选一数据选择器。
对三人表决器的表达式为ABC C AB C B A BC A F +++=,分析表达式知该逻辑函数含有三个逻辑变量,可选其中的两个(A ,B )作为数据选择器的地址输入变量,一个(C )作为数据输出变量。
则3210ABD D B A BD A D B A Y +++=,将逻辑函数F 整理后与Y 比较可得:1,,,03210====D C D C D D 。
故可实现用74LS153完成三人表决器。
3,基本R —S 触发器功能测试基本R —S 触发器是由两个与非门交叉耦合组成,当1==D D S R 时,两个与非门的工作都尤如非门,Q 接至与非门2G 的输入,使2G 输出为Q ;Q 接至与非门1G 的输入,使1G 输出为Q 。
故实验时用74LS00搭出R —S 触发器电路,R 、S 分别接逻辑开关,Q 、Q 分别接LED 灯,按其功能真值表验证R —S 触发器的功能。
4,用74LS10实现三人抢答器的设计根据三个抢答器的原理及R —S 触发器原理,及74LS10是集合了三个单元的三输入与非门,故可将三个与非门的一个输入作为三人抢答器的输入,三个与非门的其余两个输入端连接另外两个与非门的输出端,三个与非门输出端作为三个抢答器的输出。
集成触发器功能测试及应用
![集成触发器功能测试及应用](https://img.taocdn.com/s3/m/f02d996bf5335a8102d2200a.png)
六. 创新实验内容
利用集成D触发器74LS74设计一个十进制加法计数
器(同步和异步均可),画出实验电路图,自拟实验步
骤和测试方法。
七.实验报告要求
1. 整理实验数据及表格,说明触发器的逻辑功能 及触发特点并说明防抖动电路的工作原理。 2. 画出示波器观察计数器的输出波形图,说明计 数器输出波形与CP脉冲的关系。 3. 分析同步计数器与异步计数器的工作特点并记 录延迟时间的测试结果。 4. 总结实验过程中遇到的问题及解决问题的方 法。 5. 回答思考题。
74LS74的触发边沿。
表2
R 0 1 1 1 1 1 S 1 0 1 1 1 1 D × × 0 0 1 1 Qn × × 0 1 0 1 Q n+1
图3
3. 集成JK触发器74LS112组成的计数器测试 74LS112是下降沿触发的双JK触发器,具有置数和清零 功能,其管脚排列如图4 。
图4
( 1 )由集成与非门 74LS00 组成的电路如图 1 所示,根据输 入信号R、S的不同的输入状态的变化,测试触发器输出 Q 和 Q 的状态,并填入表1 。
Q Q Q Q
表1
S 1 1 Q Q
R
G1 & & G2 R
1 S1
R (a) 图1
S (b)
注意观察当R、S输入触发信号同时由00变化到11时,输出和 状态变化情况;观察当R、S输入触发信号不同时由00变化到 11时,输出和状态变化情况。体会触发器状态“不定”和 “不变”的含义。
八. 思考题
1. 异步时序逻辑电路的缺点是什么? 2. 如何采用D触发器构成JK触发器?试画出电路图。 3. 试设计一时序脉冲产生电路,要求输出Y0、Y1、Y2、Y3 与输入时钟CP脉冲满足图7 所示的时序关系。 4. 试设计一单脉冲产生电路,要求按下按键开关,输出 脉冲为上升沿;按下按键开关不动,输出脉冲为高电平; 松下按键开关,输出脉冲为下跳沿;松下按键开关,输出 脉冲为低电平。要求该电路防抖动、且有一定的带负载能力。
触发器实验报告
![触发器实验报告](https://img.taocdn.com/s3/m/cfa54350bb1aa8114431b90d6c85ec3a87c28b9c.png)
触发器实验报告一、实验目的本次实验的主要目的是深入理解触发器的工作原理和功能,通过实际操作和观察,掌握触发器在数字电路中的应用,以及其对信号的存储和转换作用。
二、实验原理触发器是一种具有记忆功能的基本逻辑单元,能够在时钟脉冲的作用下存储二进制数据。
常见的触发器类型包括 D 触发器、JK 触发器和SR 触发器等。
D 触发器在时钟脉冲的上升沿(或下降沿)将输入的数据传送到输出端。
JK 触发器则根据输入的J、K 信号和时钟脉冲来改变输出状态。
SR 触发器则由置位(S)和复位(R)信号控制输出。
三、实验设备与材料1、数字电路实验箱2、示波器3、集成电路芯片(如 74LS74、74LS112 等)4、导线若干四、实验步骤1、 D 触发器实验将 74LS74 芯片插入实验箱的插槽中。
按照芯片引脚图连接电路,将 D 输入端连接到逻辑电平开关,时钟输入端连接到脉冲信号源,输出端 Q 和 Q'连接到发光二极管。
改变D 输入端的电平,观察在时钟脉冲作用下输出端的状态变化。
2、 JK 触发器实验插入 74LS112 芯片。
连接电路,将 J、K 输入端连接到逻辑电平开关,时钟输入端连接到脉冲信号源,输出端连接到发光二极管。
改变 J、K 输入端的电平组合,观察在时钟脉冲作用下输出端的状态变化。
3、 SR 触发器实验选取合适的 SR 触发器芯片,如 74LS279。
按照引脚图连接电路,将 S、R 输入端连接到逻辑电平开关,输出端连接到发光二极管。
改变 S、R 输入端的电平,观察输出端的状态变化。
五、实验结果与分析1、 D 触发器当 D 输入端为高电平时,在时钟脉冲的上升沿,输出端 Q 变为高电平,Q'变为低电平;当D 输入端为低电平时,在时钟脉冲的上升沿,输出端 Q 变为低电平,Q'变为高电平。
这表明 D 触发器能够在时钟脉冲的控制下,将输入的数据准确地传输到输出端。
2、 JK 触发器当 J=1,K=0 时,在时钟脉冲的作用下,输出端 Q 置位为高电平;当 J=0,K=1 时,输出端 Q 复位为低电平;当 J=K=0 时,输出端保持原状态不变;当 J=K=1 时,输出端在时钟脉冲作用下翻转。
数字电路实验报告集成触发器及应用
![数字电路实验报告集成触发器及应用](https://img.taocdn.com/s3/m/cd382188bd64783e08122b3e.png)
姓名:xxxxxxxxxxxxxxx学号:xxxxxxxxxx .学院:计算机与电子信息学院专业:计算机类.班级:xxxxxxxxxxxxxxxxxx时间:2019年10月18 日.指导教师:xxxxxxxx .实验名称:集成触发器及应用.一、实验目的1、掌握RS、JK、D触发器的基本逻辑功能测试方法;2、掌握时序电路的设计;二、实验原理触发器是构成时序电路的基本逻辑单元。
它具有两个稳定状态,即“0”状态和“1”状态。
只有在触发信号作用下,才能从原来的稳定状态转变为新的稳定状态。
因此触发器是一种具有记忆功能的电路,可作为二进制存储单元使用。
触发器种类很多,按其功能可分为基本RS触发器、JK触发器、D触发器和T触发器等;按电路的触发方式又可分为电位触发器型、主从型、维阻型、边沿触发器型等。
基本RS触发器是各种触发器中最基本的组成部分,它能存贮一位二进制信息,但有一定约束条件。
例如用与非门组成的RS触发器的R'、S'不能同时为“0”,否则当R’、S’端的“0”电平同时撤销后,触发器的状态不定。
因此只R'=S'=0的情况不允许出现,也就是RS=0约束条件。
基本RS触发器的用途之一是作无抖动开关。
例如在图4-1所示的电路中,当开关S 接通时,由于机械开关在扳动的过程中,存在接触抖动,使得F点电压从+5V直接跃降到0V一瞬间(几十毫秒),会发生多次电压抖动,相当产生连续多个脉冲信号。
如果利用这种电路产生的信号去驱动数字电路,则可能导致电路发生误动作。
图4-1这在某些场合是绝对不允许的,为了消除机械开关的抖动,可在开关S与输入端A之间接入一个RS触发器(见图4-2所示),就能使F端产生很清晰的阶跃信号。
那么这种带RS触发器的开关通常称为无抖动开关(或称为逻辑开关)。
而把有抖动的开关称为数据开关。
图4-2TTL集成触发器主要有三种类型:锁存器、D触发器和JK触发器。
锁存器是电位型触发器。
实验四触发器及其应用
![实验四触发器及其应用](https://img.taocdn.com/s3/m/07329246bcd126fff6050b24.png)
实验四触发器及其应用 The following text is amended on 12 November 2020.实验四触发器及其应用一、实验目的1、掌握基本RS、JK、D和T触发器的逻辑功能2、掌握集成触发器的逻辑功能及使用方法3、熟悉触发器之间相互转换的方法二、实验原理触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。
1.基本RS触发器图4-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。
基本RS触发器具有置“0”、置“1”和“保持”三种功能。
通常称S 为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S =1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此种情况发生。
基本RS触发器。
也可以用两个“或非门”组成,此时为高电平触发有效。
触发器在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。
本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。
引脚功能及逻辑符号如图4-2所示。
JK触发器的状态方程为Q n+1=J Q n+K Q nJ和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成“与”的关系。
Q与Q为两个互补输出端。
通常把 Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。
图4-2 74LS112双JK触发器引脚排列及逻辑符号下降沿触发JK触发器的功能如表4-2表4-2注:×—任意态↓—高到低电平跳变↑—低到高电平跳变Q n(Q n)—现态 Q n+1(Q n+1 )—次态φ—不定态JK触发器常被用作缓冲存储器,移位寄存器和计数器。
数字电子技术基础实验2
![数字电子技术基础实验2](https://img.taocdn.com/s3/m/9ac1b443f7ec4afe04a1df11.png)
实验四集成触发器实验时间:实验时数:2学时实验目的:掌握触发器的性质,及触发器逻辑功能,触发方式;掌握触发器电路的测试方法;了解不同逻辑功能的触发器相互转换的方法。
实验器材:1.数字实验箱2.74LS00 二输入端四与非门2片CC4027 双上升沿J-K触发器1片实验原理:1.基本RS触发器原理图:实验难点:灵活运用不同逻辑功能的触发器进行相互转换。
2.CC4027 (双上升沿J-K触发器)引脚图:3.触发器的转换实验内容:1.用74LS00芯片中的两个双输入与非门构成一个基本RS触发器,在基本触发器R、S输入端加入不同的逻辑电平,记录其输出Q、Q’状况,验证其逻辑功能。
2.验证JK触发器的逻辑功能,自制表格记录数据,并分析JK端加入不同的逻辑电平时的逻辑功能。
CP端加单脉冲。
3.将JK触发器转换成T触发器和D触发器,画出连线图,以表格记录数据,验证其逻辑功能。
实验重点:各种触发器的逻辑功能及使用方法。
实验五计数、译码、显示电路实验时间:实验时数:4学时实验目的:熟悉常用中规模计数器的逻辑功能;掌握常用时序电路分析、设计及测试方法;掌握计数、译码、显示电路的工作原理及其应用;训练独立进行试验的技能。
实验器材:1.数字实验箱2.74LS00 二输入端四与非门2片74LS90 异步二—五—十进制计数器1片CC4027 双上升沿J-K触发器2片74LS48 显示译码器2片共阴极七段显示器2片实验原理:1.74LS90(异步二—五—十进制计数器)引脚图:构成任意进制计数器原理图:2.74LS290、74LS247及546R构成的计数、译码、显示实验如图:实验内容:1.用JK触发器构成异步二进制计数器,画出电路连接图,测试逻辑功能,并自制表格进行记录。
其中CP端选用手动单脉冲。
2.用74LS290构成8421 BCD码的十进制计数器,输出经74LS247 BCD—七段译码器/驱动器驱动546R七段显示器,用秒脉冲源信号作计数脉冲,观察显示器的变化,验证8421 BCD计数器的计数功能。
集成触发器及转换
![集成触发器及转换](https://img.taocdn.com/s3/m/79591bde360cba1aa811da31.png)
逻辑功能验证:整理实验数据将结 果填入下表。
2、D触发器转换成为J—K触发器 令D=J Q + K Q,则两式必相等。电 路图如下。
逻辑中S 、R 端为异步置1,置0 端。CP为时钟脉冲端。
2、逻辑功能验证:整理实验数据 将结果填入下表。
触发器的功能转换 1、J—K触发器转换成为D触发器 D触发器的特征方程为Qn+1=D,变换表达式使 之形式与JK触发器的特征方程相同,即Qn+1 D (Q Q) DQ DQ = 将和视为变量,余下部分看 成系数,比较式两式即可得J=D、K= D ,电路 图如下。
图中触发器的功能转换1jk触发器转换成为d触发器d触发器的特征方程为qn1d变换表达式使之形式与jk触发器的特征方程相同即qn1将和视为变量余下部分看成系数比较式两式即可得jdk电路图如下
实验名称:时序逻辑电路分析 (集成JK、D触发器)
实验目的: 掌握集成触发器的使用方法。 实验设备: 数字逻辑实验箱 一台 数字万用表 一块 器件 74LS74 双D触发器 • 74LS112 双J—K触发器
实验内容及实验记录: 一、维持—阻塞型D触发器功能测试 1、双D型正边沿维持—阻塞触发器74LS74 的逻辑符号见下图,图中 S、 端为异步置1, R 置0端。CP为时钟脉冲端。
2、逻辑功能验证:整理实验数据将
结果填入下表。
二、负边沿J—K触发器功能测试 1、双J—K型负边沿74LS112的逻辑符号
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
四、实验内容
1、 74LS112JK触发器的功能测试 按表4-4-1要求,观察和记录Q和的状态。
表4-4-1 JK触发器74112的逻辑功能 注意: CP 接单次脉 冲,且每 次测试时 都要将触 发器异步 清零或置1。
n n
J 1 Q 0 、 K 1 Q 2n Q 0n
n
Q
n 1
JQ
n
KQ
FF0 1J
n
J 2 Q1 Q 0 、 K Q n 2 1
n n
( 5 ) 电 路 图
& F F1 Q0 Q0 1J C1 1K Q1 Q1 FF2 & 1J C1 1K
& Y Q2 Q2
1 CP
C1 1K
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
五、仪器与器材
(1)双踪示波器 YB4320型 (2)函数发生器 YB1638型 (3)电路与数字实验箱 YB3262 (4)直流稳压电源 DF1701S型 (5)主要器材 74LS74 74LS138 74LS112 74LS00 74LS20 1台 1台 1台 1台 2片 1片 2片 2片 1片
Q n 1 Q nQ nQ n 1 Q n 0 2 1 0 0 n 1 n n n n n Q1 Q 0 Q1 Q 2 Q 0 Q1 n 1 n n n n n Q 2 Q1 Q 0 Q 2 Q1 Q 2
比较,得驱动方程:
J 0 Q 2 Q1 、 K 0 1
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
2 、74LS74D触发器的功能测试 按表4-4-2要求,观察和记录Q和的状态。
表4-4-2 D触发器74LS74的逻辑功能 注意: CP 接单次脉 冲,且每 次测试时 都要将触 发器异步 清零或置1
上翻 下翻 退出
南通大学电气工程学院
&
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
(6)检查自启动特性: 将无效状态111代入状态方程计算:
Q n 1 Q nQ nQ n 1 Q n 0 0 2 1 0 0 n 1 n n n n n Q 0 Q1 Q 2 Q 0 Q1 0 Q1 n 1 n n n n n Q2 Q1 Q 0 Q 2 Q1 Q 2 0
解:(1)建立原始状态图
排列顺序: /Y /0 /0 /0 000→ 001→ 010→ 011 /1 ↓ /0 110← 101← 100 /0 /0
Q
n n n 2 Q1 Q 0
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
(2)状态简化:由于题目要求设计,现只设 了7个状态,所以不用再简化。
0 1 (a)
1 0
Q
n 1 0
的卡诺图
Q1
n 1
的卡诺图
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
Q 2 Q1
n
n
Q0
n
00 0 1 0 0 (c)
01 0 1
11 0 ×
10 1 1
Q2
n 1
的卡诺图
n Q 0 1 n 1 Q1 n 1 Q 2
《数字电子综合实验》课程
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
(2)74LS74D触发器 74LS74D触发器为双上升沿D触发器,带 有预置端和清零端,其逻辑符号及各引脚 功能如图所示:
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
三、设计举例
设计一个按自然态序变化的7进制同步加 法计数器,计数规则为逢七进益,产生一个 进位输出。
《数字电子综合实验》课程
3、触发器转换 试设计一电路,将D触发器(74LS74)转 换为JK触发器。 4、设计广告流水灯 共有8个灯,始终使其中1暗7亮,且这1个 暗灯循环右移。要求: (1)单脉冲观察(用指示灯); (2)连续脉冲观察(用示波器对应地观 察时钟中CP,触发器输出端Q0、Q1、Q2和8 个灯的波形)。
(3)状态分配(状态编码):已是二进制状态
(4)选触发器,求时钟、输出、状态、驱动方程
因需用3位二进制代码,选用3个CP下降沿触发 的JK触发器,分别用FF0、FF1、FF2表示。 由于要求采用同步方案,故时钟方程为:
CP 0 CP1 CP 2 CP
上翻 下翻 退出
南通大学电气工程学院
Q n 1 S RQ n S R 1( 约 束 条 件 )
基本RS触发器常用来构成无抖动开关 电路,如图4-4-1所示。
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
G1 S
常通
常断
&
A
动
R 1K
1K
& G2
+5V
A
图4-4-1无抖动开关电路
上翻 下翻 退出
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
2、JK触发器和D触发器
(1)74LS112JK触发器 JK触发器是时序逻辑电路的基本器 件之一, 74LS112JK触发器为双下降 沿JK触发器,带有预置端和清零端, 其逻辑符号及各引脚功能如图所示:
上翻 下翻 退出
南通大学电气工程学院
Q2 Q0
n n n
n
Q1 Q 0
n n
n
Q 2 Q1 Q 0 Q 0 Q1
n n n
1Q0
n n
n
Q 2 Q 0 Q1
n
n
Q1 Q 0 Q 2
n
Q1 Q 2
n
n
不化简,以便使之与JK触发器的特性方程的形式一致。
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
南通大学电气工程学院
《数字电子综合实验》课程
实验四 集成触发器的设计应用
实验目的 实验原理
设计举例
实验内容
仪器与器材
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
一、实验目的 1、掌握触发器的原理、作用及调试方 法;
2、学习简单时序逻辑电路的设计和调 试方法。
上翻 下翻 退出
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
图4-4-1所示的状态为=0,=1,可得 出A=1,=0。当按压按键时, =1,=0,可得出 A=0,=1,改变了输出信号A的状态。若由于 机械开关的接触抖动,则的状态会在0和1 之间变化多次,若=1,由于A=0,因此G2门仍 然是“有低出高”不会影响输出状态。同 理,当松开按键时, 端出现的接触抖动亦 不会影响输出状态。
南通大学电气工程学院
《数字电子综合实验》课程
二、实验原理
触发器按照逻辑功能可以分为基本RS触 发器、JK触发器、D触发器、T触发器等。按 照电路的触发方式可以分为电平触发器(锁 存器)主从触发器、维持—阻塞触发器、边 沿触发器等。
上翻 下翻 退出
南通大学电气工程学院
《数字电子综合实验》课程
1、基本RS触发器 基本RS触发器的特性方程是
《数字电子综合实验》课程
输出方程:
Q 2 Q1
n n
Q0
n
00 0 1 0 0
01 0 0
11 1 ×
10 0 0
Y Q1 Q 2
n
n
Y 的卡诺图
Q 2 Q1
n
n
Q 2 Q1
00 01 1 0 11 0 × 10 1 0
n
n
Q0
n
Q0
n
00 0 1 0 1 (b )
01 1 0
11 0 ×
10 0 1