Quartus II 直接调用 modelsim se 进行前仿真和后仿真

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Quartus II 直接调用modelsim se 进行前仿真和后仿真

Modelsim的独立版本仿真速度比Quartus自带的Modelsim-Altera快,且Modelsim的独立版本支持Verilog和VHDL语言的混合仿真,因此Modelsim-Altera尽管可以直接从Quartus 官方下载,但不少人仍然会选用独立版本的Modelsim进行设计仿真。

使用Modelsim-Altera非常方便,安装后Quartus已经做好了与Modelsim-Altera的链接。如果使用独立版本的Modelsim,则需要自己手动配置才能将独立版本Modelsim与Quartus 链接使用。以下以使用Quartus II 11.1sp2和modelsim se 10.0a进行工程的前仿真后后仿真为例进行介绍。即在Quartus进行设置,直接通过Quartus II的菜单调用modelsim se运行前仿真和后仿真。

当然也可参照网上的介绍,编译modelsim的Altera库,在modelsim se中直接建立工程并运行仿真。

本文介绍通过在Quartus中进行设置,通过Quartus菜单直接调用运行modelsim se的仿真,配置好后就如同Altera官方的Modelsim-Altera版本一样使用简便。

第一步:配置modelsim se路径

Tools->Options打开Options对话框,选General->EDA Tool Options,设置Modelsim路径,可直接填入或浏览Modelsim路径,如下图所示:

第二步:设置testbench

Assignments->Settings,打开Settings对话框,EDA Tool Settings->Simulation设置Tool name选Modelsim,Format for output netlist选择所用语言,Time scale需仿真时间精度。

在NativeLink settings设置testbench,如下图所示:

如果已经添加过一个或者多个testbench文件后,可以从Compile test bench下拉列表中选择testbench。如果从未添加过testbench,则点上图中的Test Benches…弹出Test Benches

对话框,进行testbench文件的添加,如下图所示:

点上图中的New…,弹出Edit Test Bench Settings对话框,在Test bench 那么中填写testbench的模块名,Top level module in test bench使用默认名即可。在Test bench files目录下添加testbench的文件名,点File name的…按钮浏览到testbench文件,点Add添加文件,如下图所示。Edit Test Bench Settings对话框OK完成一个testbench的添加。可以在Test

Benches对话框中多次New…添加多个testbench文件,如上图所示。

第三步:运行仿真

在Quartus II中选择菜单Tools->Run Simulations Tool,选RTL simulation运行modelsim 的前仿真,选择Gate Level Simulation…运行modelsim的后仿真。

运行Gate Level Simulation…后会弹出EDA Gate Level Simulation对话框,可从Timing model下拉列表中选择后仿真模型,可选择Slow 100℃模型、Slow -40℃模型、Fast -40℃模型,按Run即可打开modelsim se运行后仿真,如下图所示:

不同的timing model下Gate Level Simulation的setup time、hold time、removal time是不同的,altera的3个模型都后仿真没有问题才能说timing没有问题。

相关文档
最新文档