数字系统设计报告

合集下载

数字系统设计与PLD应用实验报告

数字系统设计与PLD应用实验报告

》数字系统设计与PLD应用实验报告****************实验一、高速并行乘法器的设计一、算法设计和结构选择本高速并行乘法器采用一下算法:被乘数A的数值位左移,它和乘数B的各个数值位所对应的部分进行累加运算。

且用与门、4位加法器来实现,其电路结构如下图一所示,图中Ps=As⊕Bs,用以产生乘积的符号位。

图一并行4位二进制乘法器的电路结构图二、设计输入|本实验选择Altera公司的FLEX器件中的FLEX10K10芯片,并用QuartusII 软件进行设计,采用原理图输入方式。

图形输入文件如下图二所示。

图二高速并行乘法器的图形输入文件三、逻辑仿真建立高速并行乘法器的原理图输入文件之后,将文件编译,待编译成功后进行时序仿真,仿真结果如下图三。

图三高速并行乘法器仿真结果实验二、十字路口交通管理器的设计…一、交通管理器的功能该管理器控制甲、乙两道的红、黄、绿三色灯,指挥车辆和行人安全通行。

交通管理器示意图如下图四所示。

图中R1、Y1、G1是甲道红、黄、绿灯;R2、Y2、G2是乙道红、黄、绿灯。

图四十字路口交通管理器示意图该交通管理器由控制器和受其控制的三个定时器及六个交通管理灯组成。

图四中三个定时器分别确定甲道和乙道通行时间t3、t1,以及公共的停车(黄灯燃亮)时间t2。

这三个定时器采用以秒信号为时钟的计数器来实现,C1、C2、C3为1时,相应的定时器计数,W1、W2、W3为定时计数器的指示信号,计数器在计数过程中,相应的指示信号为0,计数结束时为1.二、系统算法设计十字路口交通管理器是一个控制类型的数字系统,其数据处理单元较简单。

其工作流程图如下图五。

…图五交通管理器工作流程图三、设计输入本设计采用分层次描述方式,且用图形输入和文本输入混合方式建立描述文件。

下图六即为其图形输入文件。

它用框图方式表明系统的组成:控制器和三个各为模26、模5和模30的定时计数器,并给出它们之间的互连关系。

图六交通管理器顶层图形输入文件在顶层图形输入文件中的各模块,其功能用第二层次VHDL源文件描述如下:控制器Control源文件LIBRARY IEEE;'USE traffic_control ISPORT(clk :IN STD_LOGIC;c1,c2,c3 :OUT STD_LOGIC;w1,w2,w3: IN STD_LOGIC;r1,r2: OUT STD_LOGIC;y1,y2: OUT STD_LOGIC;g1,g2: OUT STD_LOGIC;<reset: IN STD_LOGIC);END traffic_control;ARCHITECTURE a OF traffic_control ISTYPE STATE_SPACE IS(S0,S1,S2,S3);SIGNAL state:STATE_SPACE;BEGINGPROCESS(reset,clk)BEGIN$IF reset='1'THENstate<=S0;ELSIF(clk'EVENT AND clk='1')THENCASE state ISWHEN S0=>IF w1='1'THENstate<=S1;END IF;¥WHEN S1=>IF w2='1'THENstate<=S2;END IF;WHEN S2=>IF w3='1'THENstate<=S3;END IF;|WHEN S3=>IF w2='1'THENstate<=S0;END IF;END CASE;END IF;END PROCESS;c1<='1' WHEN state=S0 ELSE'0';[c2<='1' WHEN state=S1 OR state=S3 ELSE'0'; c3<='1' WHEN state=S2 ELSE'0';r1<='1' WHEN state=S1 OR state=S0 ELSE'0'; y1<='1' WHEN state=S3 ELSE'0';g1<='1' WHEN state=S2 ELSE'0';r2<='1' WHEN state=S2 OR state=S3 ELSE'0'; y2<='1' WHEN state=S1 ELSE'0';g2<='1' WHEN state=S0 ELSE'0';@END a;三个计数器的源文件LIBRARY IEEE;USE count30 ISPORT(clk :IN STD_LOGIC;enable :IN STD_LOGIC;c :OUT STD_LOGIC);!END count30;ARCHITECTURE a OF count30 ISBEGINPROCESS(clk)VARIABLE cnt:INTEGER RANGE 30 DOWNTO 0; BEGINIF(clk'EVENT AND clk='1')THENIF enable='1'AND cnt<30 THEN《cnt:=cnt+1;ELSEcnt:=0;END IF;END IF;IF cnt=30 THENC<='1';ELSE:C<='0';END IF;END PROCESS;END a;LIBRARY IEEE;USE count05 ISPORT(·clk :IN STD_LOGIC;enable :IN STD_LOGIC;c :OUT STD_LOGIC);END count05;ARCHITECTURE a OF count05 ISBEGINPROCESS(clk)VARIABLE cnt:INTEGER RANGE 5 DOWNTO 0; $BEGINIF(clk'EVENT AND clk='1')THENIF enable='1'AND cnt<5 THENcnt:=cnt+1;ELSEcnt:=0;END IF;END IF;#IF cnt=5 THENC<='1';ELSEC<='0';END IF;END PROCESS;END a;:LIBRARY IEEE;USE count26 ISPORT(clk :IN STD_LOGIC;enable :IN STD_LOGIC;c :OUT STD_LOGIC);END count26;ARCHITECTURE a OF count26 IS~BEGINPROCESS(clk)VARIABLE cnt:INTEGER RANGE 26 DOWNTO 0; BEGINIF(clk'EVENT AND clk='1')THENIF enable='1'AND cnt<26 THENcnt:=cnt+1;ELSE!cnt:=0;END IF;END IF;IF cnt=26 THENC<='1';ELSEC<='0';END IF;—END PROCESS;END a;四、逻辑仿真编译器将顶层图形输入文件和第二层次功能块VHDL输入文件相结合并编译,确定正确无误后,即可经设计处理产生交通管理器的目标文件。

西南科技大学实验报告现代数字系统设计实验题目:直流电机的...

西南科技大学实验报告现代数字系统设计实验题目:直流电机的...

西南科技大学实验报告现代数字系统设计实验题目:直流电机的PWM控制专业班级:生物医学0502 _学生姓名:***学生学号: ********实验时间: 2个小时指导教师:***一、实验目的1.掌握直流电机的PWM 控制方法;2.锻炼VHDL 语言的编程能力。

二、实验原理及说明PWM 控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。

当采用FPGA 产生PWM 波形时,只需FPGA 内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。

当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。

直流电机控制电路主要由2部分组成,如图1所示: ● FPGA 中PWM 脉宽调制信号产生电路; ● FPGA 中正/反转方向控制电路;速度等级设置模块锯齿波发生器(模16计数器)数字比较器模块旋转方向控制模块驱动电路Z (正转)F (反转)PWM 波形输出Z_F (正/反转控制信号)Rst (复位)Clk (时钟)Rst (复位)Level (速度等级按键)接数码管(显示速度等级)图1 直流电机PWM 控制电路三、实验步骤1.在QUARTUSII 软件下创建一工程,工程名为pwm_control ,芯片名为EP1C3T144C8,注意工程路径不要放到软件安装路径下;2.根据实验原理,自己编写VHDL 顶层设计文件实现直流电机的PWM 控制(整个设计要求全部采用VHDL 编写,不能采用原理图方式),参考程序如下:速度等级设置模块process(rst,level)beginif rst='1' thencnt4<=(others=>'0');elsif level='1' and level'event thencnt4<=cnt4+1;end if;end process;process(rst,clk)beginif rst='1' thenspeed<=(others=>'0');elsif clk'event and clk='1' thencase cnt4 isWHEN "00" => speed <= "0011" ;WHEN "01" => speed <= "0100" ;WHEN "10" => speed <= "0101" ;WHEN "11" => speed <= "0111" ;WHEN OTHERS => NULL ;END CASE ;end if;END PROCESS;数字比较器模块process(clk,rst)beginif rst='1' thenagb<='0';elsif clk'event and clk='1' thenif cnt16>speed thenagb<='1';elseagb<='0';end if;end if;end process;锯齿波发生器process(rst,clk)beginif rst='1' thencnt16<=(others=>'0');elsif clk='1' and clk'event thencnt16<=cnt16+1 ;end if;end process;旋转方向控制模块process(rst,clk)beginif rst='1' thenz<='0';f<='0';elsif clk'event and clk='1' thenif z_f='1' thenz<=agb;f<='0';elsez<='0';f<=agb;end if;end if;end process;3.对设计进行全编译;4.分别进行功能与时序仿真,验证逻辑功能;5.锁定引脚实验采用模式5,锁定引脚对照表如下信号键1 键2(level)键3clkz f level_display0level_display1引脚号1 2 3 9313213185 966.下载采用JA TG方式进行下载;7.将实验箱左上方直流电机处跳线位置插到直流允许端,(注意实验完毕后将此跳线插回直流禁止端),将右下方clock0频率改为65536Hz。

数字系统课程设计 红外线遥控接收器

数字系统课程设计 红外线遥控接收器

数字系统课程设计报告第一部分设计题目及要求本次课程设计的题目及要求如下:一、设计题目红外线遥控接收器二、设计步骤1、EDA实验板组装调试参照提供的EDA实验板电路原理图、PCB图以及元器件清单进行电路板的组装。

电路板组装完成后,编写三个小程序进行电路板测试。

2、红外遥控系统的设计(1)发射编码部分使用指定的元器件在万用板上完成红外遥控器的制作。

(2)接收解码部分接收解码用VHDL语言编写程序,在EDA实验板上实现解码。

二、功能要求1、将一体化红外接收解调器的输出信号解码(12个单击键、6个连续键,单击键编号为7-18,连续键编码为1-6),在EDA实验板上用七段数码管显示出来。

2、当按下遥控器1—6号连续键时,在EDA实验板上用发光二极管点亮作为连续键按下的指示,要求遥控器上连续键接下时指示灯点亮,直到松开按键时才熄灭,用于区别单击键。

3、EDA实验板上设置四个按键,其功能等同于遥控器上的1—4号按键,当按下此四个按键时七段数码管分别对应显示“1”、“2”、“3”、“4”。

4、每当接收到有效按键时,蜂鸣器会发出提示音。

第二部分设计分析本次课程设计包括两大部分,一是电路设计及电路焊接,二是程序的设计及编写。

电路部分,根据题目要求,要做到红外发送,显然整个电路系统要分为红外发射和红外接收两个电路,分别做到红外的编码发射和译码接受,再在接收板上显示接受到的红外信号。

另外还包括一个从电脑下载程序到芯片上的下载线电路。

一、红外发射电路本次课程设计的红外遥控器由红外遥控专用芯片PT2248作为编码及发送部分,PT2248最大可用作18路红外遥控系统的编码,其内部己集成了38kHz的红外载波振荡及相应的数字脉码调制电路,只需外接3×6的矩阵式按键、红外发光二极管及其驱动电路等少量元器件便可完成编码发送的功能。

由PT2248和少量外围元件组成的红外遥控发射电路如下图所示芯片的发送指令由12位码组成,其中C1~C3是用户码,可用来确定不同的模式。

数字系统设计报告 Microsoft Word 文档

数字系统设计报告 Microsoft Word 文档

数字系统设计综合实验报告序列检测器姓名康丽娟学号 1010406028系别物理与信息工程系班级通信六班2012年 12月 27 日一.实验目的:脉冲序列检测器在现代数字通信系统中发挥着重要的作用,通过中小规模的数字集成电路构成的传统脉冲序列检测器电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周期长等缺点。

因此脉冲序列检测器电路的模块化、集成化已成为发展趋势.它不仅可以使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提高。

随着电子技术的发展,特别是专用集成电路(ASIC)设计技术的日趋完善,数字化的电子自动化设计(EDA)工具给电子设计带来了巨大变革,尤其是硬件描述语言的出现,解决了传统电路原理图设计系统工程的诸多不便。

随着ASIC技术、EDA技术的不断完善和发展以及VHDL、HDL等通用性好、移植性强的硬件描述语言的普及,FPGA等可编程逻辑器件必将在现代数字应用系统中得到广泛的应用,发挥越来越重要的作用。

在这种背景下,针对大规模可编程逻辑器件FPGA器件的集成度高、工作速度快、编程方便、价格较低,易于实现设备的可编程设计的特点,用硬件描述语言设计FPGA器件来实现脉冲序列检测器。

应用可编程逻辑器件FPGA,来完成高速采编器的功能,具有速度更快、可靠性更高、调试方便的优点,而且电路简单,体积更小,利用VHDL开发的脉冲序列检测器,其通用性和基于模块的设计方法可以节省大量的人力,大大地缩短设计周期,在工程应用中已经取得了显著的效果。

可见,基于FPGA的脉冲序列检测器的设计是现代数字通信的发展要求,从而使得其具有更好的发展前景和使用价值。

二.实验原理序列检测器是一种重要的时序功能部件,他在数据通信,雷达和遥测等领域中用于检测同步识别标志。

更具体说,它是用一组用来检测一组一定长度的序列信号的电路。

本实验设计长度为5的11010序列检测器,其含义是是电路收到一组串行的11010信息后,输出标志Z 在最后一位的有效码到来时输出为1,否则Z输出为0,且序列可以重复使用。

北京理工大学数字系统设计与实验报告

北京理工大学数字系统设计与实验报告

3、仿真结果 功能仿真设置:
功能仿真结果: (1)总体仿真结果
(2)reset 高有效和二分频仿真结果
(3)四分频仿真结果
(4)八分频仿真结果
(5)十六分频仿真结果
四、实验心得
通过本次实验,设计频率可选的模十状态机以及 7 段译码电路,学会了以代码 形式实现频率多路选择器的功能,巩固了模十状态机的实现方式,进一步掌握 VerilogHDL 硬件描述语言。
功能仿真结果:
时序仿真设置:
时序仿真结果:
2、 3—8 译码器 (1)设计思路 随着时钟信号 clk 上升沿的到来,输入 D2D1D0 从 000 加到 111,每个输入 D2D1D0 对应着一个输出 Q7Q6Q5Q4Q3Q2Q1Q0,对应关系如真值表所示:
输入 D2 0 0 0 0 1 1 1 1 D1 0 0 1 1 0 0 1 1 D0 0 1 0 1 0 1 0 1 Q7 0 0 0 0 0 0 0 1 Q6 0 0 0 0 0 0 1 0 Q5 0 0 0 0 0 1 0 0 Q4 0 0 0 0 1 0 0 0
seg <= 7'b0011001; 5: seg <= 7'b0010010; 6: seg <= 7'b0000010; 7: seg <= 7'b1111000; 8: seg <= 7'b0000000; 9: seg <= 7'b0010000; default: seg <= 7'b0001000; endcase end endmodule (2)功能图
三、设计与实现
1、设计思路 (1) 、时钟信号 clk 作为分频器的输入,分频器的设计思路为设计一个模十六计 数器,cp0(Q0)输出即为二分频信号,cp1(Q1)输出即为四分频信号,cp2(Q2) 输出即为八分频信号,cp3(Q3)输出即为十六分频信号。分频器的输出由 4 选 1 多 路选择器的选择输入端 select 选择 2 分频、4 分频、 8 分频和 16 分频其中之一作为状 态机的时钟输入,当 select 为 0 时,输出为二分频信号;为 1 时,输出为四分频信 号;为 2 时,输出为八分频信号;为 3 时,输出为十六分频信号。 (2) 、reset 为高有效,则若 reset 信号为 1 时,qout 置为 0,则 now_state 为 0。 若 reset 信号为 0 时, qout 自加,并作为状态机的输入 驱动,让状态机按照 0->2->5->6->1->9->4->8->7->3->0 的顺序输出。 (3) 、状态机按照 0->2->5->6->1->9->4->8->7->3->0 的顺序输出,并使用此输出 作为驱动输入到 7 段译码器的显示逻辑。

华南理工大学数字系统设计实验3报告资料

华南理工大学数字系统设计实验3报告资料

实验三基于状态机的交通灯控制地点:31号楼312房;实验台号:12实验日期与时间:2017年12月08日评分:预习检查纪录:批改教师:报告内容:一、实验要求1、开发板上三个led等分别代表公路上红黄绿三种颜色交通灯。

2、交通灯状态机初始状态为红灯,交通灯工作过程依次是红→绿→黄→红。

3、为了方便观察,本次实验要求红灯的显示时间为9s,绿灯显示时间为6s,黄灯显示时间为3s,时间需要倒计时,在数码管上显示。

编程之前要求同学们先画好ASM图。

4、1Hz分频模块请采用第二次实验中的内容,7段码显示模块请参考书本相关内容。

5、第三次实验课用到EP2C8Q208C8通过74HC595驱动数码管,有两种方法写该模块代码:方法1,用VHDL语言写,(自己写VHDL代码有加实验分)。

方法2,可调用verilog数码管驱动模块,该模块在附件“seg.zip”中。

和其它VHDL编写的模块可以混搭在一个电路图中使用。

EP2C8Q208C8的SCTP,SHCP,SER_DATA数码管信号线通过两块74HC595集成块,再驱动数码管。

6、芯片型号:cyclone:EP2C8Q208C8,开发板所有资料都在“新板”附件中,其中管脚配置在实验要求中是不对的,以“新板”附件中为准。

二、实验内容1设计要求开发板上三个led等分别代表公路上红黄绿三种颜色交通灯。

交通灯状态机初始状态为红灯,交通灯工作过程依次是红→绿→黄→红。

本次实验要求红灯的显示时间为9s,绿灯显示时间为6s,黄灯显示时间为3s,时间需要倒计时,在数码管上显示。

2设计思路(1)数码管驱动第三次实验课用到EP2C8Q208C8通过74HC595驱动数码管,有两种方法写该模块代码:方法1,用VHDL语言写,(自己写VHDL代码有加实验分)。

方法2,可调用verilog数码管驱动模块,该模块在附件“seg.zip”中。

和其它VHDL 编写的模块可以混搭在一个电路图中使用。

数字系统设计实验报告计数器、累加器

数字系统设计实验报告计数器、累加器

实验五计数器设计一、实验目的:1)复习计数器的结构组成及工作原理。

2)掌握图形法设计计数器的方法。

3)掌握Verilog HDL语言设计计数器的方法。

4)进一步熟悉设计流程、熟悉数字系统实验开发箱的使用。

二、实验器材:数字系统设计试验箱、导线、计算机、USB接口线三、实验内容:1)用图形法设计一个十进制计数器, 仿真设计结果。

下载, 进行在线测试。

用Verilog HDL语言设计一个十进制的计数器(要求加法计数;时钟上升沿触发;异步清零, 低电平有效;同步置数, 高电平有效), 仿真设计结果。

下载, 进行在线测试。

四、实验截图1)原理图:2)仿真波形:3)文本程序:5)波形仿真:五、实验结果分析、体会:这次实验, , 由于试验箱有抖动, 故在原理图上加了去抖电路, 但是在波形仿真的时候无需考虑抖动, 所以我在波形仿真的时候将去抖电路消除了, 方便观察实验六累加器设计一、实验目的:1)学习了解累加器工作原理;2)了解多层次结构的设计思路;3)学会综合应用原理图和文本相结合的设计方法。

实验器材:数字系统设计试验箱、导线、计算机、USB接口线三、实验内容:1)在文本输入方式下设计分别设计出8位的全加器和8位的寄存器, 并分别存为add8_8.v和reg8.v;3) 2)在原理图输入方式下通过调用两个模块设计出累加器电路, 并存为add8.bdf, 进行功能仿真;下载, 进行在线测试。

四、实验截图1)8位累加器原理图:2)波形仿真:3)文本输入8位加法器语言及符号:生成元器件:4)文本输入8位寄存器:生成图元:五、实验总结:通过本次实验, 学习了解累加器工作原理, 了解多层次结构的设计思路, 学会综合应用原理图和文本相结合的设计方法。

数字系统设计实验报告

数字系统设计实验报告

计算机科学与技术学院数字系统设计实验报告姓名:学号:专业:班级:指导教师:2011年11月徐州实验4-1 组合电路的设计实验目的:熟悉Quartus 2的 VHDL 文本设计流程全过程,学习简单组合电路的设计,多层次电路的设计、仿真和硬件测试。

实验内容:1.首先利用Quartus 2 完成2选1 多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。

最后在实验系统上进行硬件测试,验证本项设计的功能。

2.将此多路选择器看成是一个元件mux21a,利用元件例化语句描述,并将此文件放在同一目录中。

任务一:1.程序代码ENTITY mux21a ISPORT (a,b,s:IN BIT;y:OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS(a,b,s)BEGINIF s='0' THEN y<=a;ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;2.编译3.时序仿真4.观察RTL电路任务二:1.程序代码entity MUXK ISPORT (a1,a2,a3,s1,s0: in bit;outy:out bit);end entity MUXK;ARCHITECTURE one of MUXK ISCOMPONENT mux21aport (a,b,s:in bit;y:out bit);end component;signal tmp: bit;beginu1:mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp);u2:mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy);end architecture one;2.编译3.波形图4.观察RTL电路实验体会:通过这次实验,对vhdl文本设计流程有了初步的了解,对组合电路的原理和构成有了更深的认识。

数字系统设计_实验报告

数字系统设计_实验报告

一、实验目的1. 熟悉数字系统设计的基本流程和方法;2. 掌握数字系统硬件描述语言(如Verilog)的基本语法和设计方法;3. 培养动手实践能力,提高数字系统设计水平;4. 了解数字系统设计中常用模块的功能和实现方法。

二、实验内容1. 数字系统硬件描述语言(Verilog)编程2. 数字系统模块设计3. 数字系统仿真与调试三、实验步骤1. 设计数字系统模块(1)分析数字系统功能需求,确定模块功能;(2)根据模块功能,设计模块的输入输出端口和内部结构;(3)使用Verilog语言编写模块代码。

2. 编写顶层模块(1)根据数字系统功能需求,设计顶层模块的输入输出端口和内部结构;(2)将已设计的模块实例化,连接各模块端口;(3)编写顶层模块代码。

3. 仿真与调试(1)使用仿真工具(如ModelSim)对顶层模块进行仿真;(2)观察仿真波形,分析模块功能是否满足设计要求;(3)根据仿真结果,对模块代码进行修改和优化;(4)重复步骤(2)和(3),直至模块功能满足设计要求。

四、实验结果与分析1. 数字系统模块设计(1)设计了一个4位加法器模块,包括两个4位输入端口、一个4位输出端口和两个进位输出端口;(2)设计了一个2位乘法器模块,包括两个2位输入端口和一个4位输出端口;(3)设计了一个8位存储器模块,包括一个8位输入端口、一个8位输出端口和一个地址输入端口。

2. 顶层模块设计(1)根据功能需求,设计了一个包含加法器、乘法器和存储器的数字系统顶层模块;(2)将已设计的模块实例化,连接各模块端口;(3)编写顶层模块代码。

3. 仿真与调试(1)使用ModelSim对顶层模块进行仿真;(2)观察仿真波形,发现加法器和乘法器功能正常,但存储器模块存在错误;(3)分析存储器模块代码,发现地址输入端口的逻辑关系错误;(4)修改存储器模块代码,重新进行仿真,验证模块功能正确。

五、实验总结1. 通过本次实验,掌握了数字系统设计的基本流程和方法;2. 学会了使用Verilog语言进行数字系统模块设计;3. 培养了动手实践能力,提高了数字系统设计水平;4. 了解数字系统设计中常用模块的功能和实现方法。

数字系统设计及实验实验报告

数字系统设计及实验实验报告

数字系统设计及实验实验报告一、实验目的数字系统设计及实验课程旨在让我们深入理解数字逻辑的基本概念和原理,掌握数字系统的设计方法和实现技术。

通过实验,我们能够将理论知识应用于实际,提高解决问题的能力和实践动手能力。

本次实验的具体目的包括:1、熟悉数字电路的基本逻辑门、组合逻辑电路和时序逻辑电路的设计方法。

2、掌握使用硬件描述语言(如 Verilog 或 VHDL)进行数字系统建模和设计。

3、学会使用相关的电子设计自动化(EDA)工具进行电路的仿真、综合和实现。

4、培养团队合作精神和工程实践能力,提高解决实际问题的综合素质。

二、实验设备和工具1、计算机:用于编写代码、进行仿真和综合。

2、 EDA 软件:如 Quartus II、ModelSim 等。

3、实验开发板:提供硬件平台进行电路的下载和测试。

4、数字万用表、示波器等测量仪器:用于检测电路的性能和信号。

三、实验内容1、基本逻辑门电路的设计与实现设计并实现与门、或门、非门、与非门、或非门和异或门等基本逻辑门电路。

使用 EDA 工具进行仿真,验证逻辑功能的正确性。

在实验开发板上下载并测试实际电路。

2、组合逻辑电路的设计与实现设计一个 4 位加法器,实现两个 4 位二进制数的相加。

设计一个编码器和译码器,实现数字信号的编码和解码。

设计一个数据选择器,根据控制信号选择不同的输入数据。

3、时序逻辑电路的设计与实现设计一个同步计数器,实现模 10 计数功能。

设计一个移位寄存器,实现数据的移位存储功能。

设计一个有限状态机(FSM),实现简单的状态转换和控制逻辑。

四、实验步骤1、设计方案的确定根据实验要求,分析问题,确定电路的功能和性能指标。

选择合适的逻辑器件和设计方法,制定详细的设计方案。

2、代码编写使用硬件描述语言(如 Verilog 或 VHDL)编写电路的代码。

遵循代码规范,注重代码的可读性和可维护性。

3、仿真验证在 EDA 工具中对编写的代码进行仿真,输入不同的测试向量,观察输出结果是否符合预期。

数字系统实验报告模板

数字系统实验报告模板

实验名称:____________________实验日期:____________________实验地点:____________________一、实验目的1. 理解数字系统的基本组成和原理。

2. 掌握数字电路的基本实验方法和技能。

3. 提高对数字电路的分析和设计能力。

二、实验原理(一)实验背景简要介绍数字系统的概念、发展历程及其在现代社会中的应用。

(二)实验原理1. 数字电路的基本组成和功能。

2. 数字电路的常用逻辑门及其功能。

3. 数字电路的时序逻辑电路和组合逻辑电路的设计方法。

三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 移动电源4. 实验指导书5. 计算器四、实验内容与步骤(一)实验内容1. 逻辑门电路实验2. 组合逻辑电路实验3. 时序逻辑电路实验(二)实验步骤1. 逻辑门电路实验(1)熟悉实验箱的各个功能模块。

(2)搭建简单的逻辑门电路,如与门、或门、非门等。

(3)验证电路功能,并观察输出波形。

(4)记录实验数据,分析实验结果。

2. 组合逻辑电路实验(1)根据实验要求,设计组合逻辑电路。

(2)搭建电路,连接实验箱各个模块。

(3)输入不同的输入信号,观察输出波形。

(4)记录实验数据,分析实验结果。

3. 时序逻辑电路实验(1)熟悉时序逻辑电路的基本原理。

(2)搭建简单的时序逻辑电路,如计数器、寄存器等。

(3)观察电路状态变化,分析电路功能。

(4)记录实验数据,分析实验结果。

五、实验结果与分析(一)实验结果1. 逻辑门电路实验结果2. 组合逻辑电路实验结果3. 时序逻辑电路实验结果(二)实验分析1. 分析实验数据,验证实验原理的正确性。

2. 分析实验过程中遇到的问题及解决方法。

3. 总结实验经验,提出改进建议。

六、实验结论1. 通过本次实验,掌握了数字电路的基本组成和原理。

2. 提高了数字电路的实验技能和设计能力。

3. 对数字电路在现代社会中的应用有了更深入的了解。

七、实验心得体会1. 总结实验过程中的收获和不足。

数字系统设计 实验报告

数字系统设计 实验报告

数字系统设计实验报告1. 引言数字系统设计是计算机科学与工程中的重要领域之一。

本实验旨在通过设计一个基本的数字系统,深入理解数字系统的原理和设计过程。

本文将按照以下步骤详细介绍实验的设计和实施。

2. 实验目标本实验旨在设计一个简单的数字系统,包括输入、处理和输出三个模块。

具体目标如下: - 设计一个输入模块,用于接收用户的输入数据。

- 设计一个处理模块,对输入数据进行特定的处理。

- 设计一个输出模块,将处理结果展示给用户。

3. 实验设计3.1 输入模块设计输入模块主要用于接收用户的输入数据,并将其传递给处理模块进行处理。

在本实验中,我们选择使用键盘作为输入设备。

具体设计步骤如下: 1. 初始化输入设备,确保能够正确接收用户输入。

2. 设计输入缓冲区,用于存储用户输入的数据。

3. 实现输入函数,将用户输入的数据存储到输入缓冲区中。

3.2 处理模块设计处理模块是数字系统的核心部分,负责对输入数据进行特定的处理。

在本实验中,我们选择设计一个简单的加法器作为处理模块。

具体设计步骤如下: 1. 定义输入数据的格式和表示方法。

2. 实现加法器的逻辑电路,可以通过使用逻辑门和触发器等基本组件来完成。

3. 设计加法器的控制电路,用于控制加法器的运算过程。

4. 验证加法器的正确性,可以通过给定一些输入数据进行测试。

3.3 输出模块设计输出模块用于将处理结果展示给用户。

在本实验中,我们选择使用显示器作为输出设备。

具体设计步骤如下: 1. 初始化输出设备,确保能够正确显示处理结果。

2. 设计输出缓冲区,用于存储待显示的数据。

3. 实现输出函数,将输出数据从输出缓冲区中传输到显示器上。

4. 实验实施4.1 输入模块实施根据3.1节中的设计步骤,我们首先初始化输入设备,然后设计输入缓冲区,并实现相应的输入函数。

4.2 处理模块实施根据3.2节中的设计步骤,我们定义输入数据的格式和表示方法,然后实现加法器的逻辑电路和控制电路。

数字电路与系统设计实验报告

数字电路与系统设计实验报告

数字电路与系统设计实验报告学院:班级:姓名:实验一基本逻辑门电路实验一、实验目的1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。

2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。

二、实验设备1、二输入四与非门74LS00 1片2、二输入四或非门74LS02 1片3、二输入四异或门74LS86 1片三、实验内容1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。

2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。

3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。

四、实验方法1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。

2、用实验台的电平开关输出作为被测器件的输入。

拨动开关,则改变器件的输入电平。

3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。

指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。

五、实验过程1、测试74LS00逻辑关系(1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯)(2)真值表2、测试74LS02逻辑关系(1)接线图(2)真值表3、测试74LS86逻辑关系接线图(1)接线图(2)真值表六、实验结论与体会实验是要求实践能力的。

在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。

实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验一、实验目的1、掌握基本逻辑门的功能及验证方法。

2、掌握逻辑门多余输入端的处理方法。

3、学习分析基本的逻辑门电路的工作原理。

二、实验设备1、基于CPLD的数字电路实验系统。

2、计算机。

三、实验内容1、用与非门和异或门安装给定的电路。

2、检验它的真值表,说明其功能。

四、实验方法按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。

东南大学自动化学院---《数字系统课程设计》-专业综合设计报告

东南大学自动化学院---《数字系统课程设计》-专业综合设计报告

东南大学自动化学院《数字系统课程设计》专业综合设计报告姓名:学号:专业:自动化实验室:电工电子四楼组别:无同组人员:无设计时间:2012年8 月8日—- 2010 年9 月15 日评定成绩:审阅教师:目录一.课程设计的目的与要求(含设计指标)……………………………………………3页码二.原理设计(或基本原理)……………………………………………………………3页码三。

架构设计(架构设计)………………………………………………………………4页码四。

方案实现与测试(或调试)…………………………………………………………5页码五.分析与总结……………………………………………………………………………15页码一。

课程设计的目的与要求(含设计指标)主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。

红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。

主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。

具体要求如下:(1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。

(2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。

主干道最短通车时间为25s 。

(3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。

(4)不论主干道情况如何,乡村公路通车最长时间为16s。

(5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。

(6)用开关代替传感器作为检测车辆是否到来的信号。

用红、绿、黄三种颜色的发光二极管作交通灯。

要求显示时间,倒计时二。

原理设计(或基本原理)本设计用了Verilog HDL语言, TOP—DOWN设计,设计方法从系统设计入手,在顶层进行功能方框图的划分和结构设计。

具体过程如下:该系统中输入变量有:set(使能开关),c(乡村道路开关), clk(系统时钟),该控制系统打开后共有两种状态: 一种是只有主干道交通灯亮,这种情况比较简单,此时主干道绿灯一直亮着。

PLD与数字系统设计实验报告

PLD与数字系统设计实验报告

PLD与数字系统设计实验报告A组实验四:数字密码锁1 实验要求使用Xilinx公司的Spartan3S 400AN开发板上的相关模块,利用ISE开发软件完成数字密码锁的设计,要求实现如下功能:利用实验板上的4×3小键盘,设计4位密码锁,当输入密码正确时,在液晶屏上显示“OK”,否则,显示“ERROR”,在输入过程中,显示输入的数据个数,当有错误的输入时,显示“ERROR”,当全部输入正确时,才显示OK。

2 实验原理2.1 4×3数字键盘数字键盘又叫行列式键盘。

用带IO口的线组成行列结构,按键设置在行列的交点上。

例如用4×3的行列式结构可以构成12个键的键盘。

实验中所用到的数字键盘原理如图1所示。

图1 4×3数字键盘例如设置此模块的列为输入端口,行为输出端口。

那么在按下某一个键时,该键所在的开关接通,由输入端口向输出端口输出一个有效电平,继而触发其他模块。

2.2 LCD液晶显示模块开发板上使用的是无字库的12864LCD显示器,12864LCD显示模块是由128*64个液晶显示点组成的一个128列*64行的阵列。

每个显示点对应一位二进制数,1表示亮,0表示灭(但实验所用开发板上该模块实际是低电平表示亮、高电平表示灭)。

存储这些点阵信息的RAM称为显示数据存储器。

以下是关于显示屏的一些参数:图2 128*64 LCD控制器结构框图图3 128*64时序图图4 指令功能表图5 初始化指令流程要显示某个图形就是将相应的点阵信息写入到相应的存储单元中。

图形的点阵信息可以由自己设计也可借助字模软件,问题的关键就是显示点在液晶屏上的位置(行和列)与其在存储器中的地址之间的关系。

由于多数液晶显示模块的驱动电路是由一片行驱动器和两片列驱动器构成,所以12864液晶屏实际上是由左右两块独立的64*64液晶屏拼接而成,每半屏有一个512*8 bits显示数据RAM。

左右半屏驱动电路及存储器分别由片选信号CS1和CS2选择。

数字系统电路实验报告(3篇)

数字系统电路实验报告(3篇)

第1篇一、实验目的1. 理解数字系统电路的基本原理和组成。

2. 掌握数字电路的基本实验方法和步骤。

3. 通过实验加深对数字电路知识的理解和应用。

4. 培养学生的动手能力和团队合作精神。

二、实验原理数字系统电路是由数字逻辑电路构成的,它按照一定的逻辑关系对输入信号进行处理,产生相应的输出信号。

数字系统电路主要包括逻辑门电路、触发器、计数器、寄存器等基本单元电路。

三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 示波器4. 逻辑分析仪5. 编程器四、实验内容1. 逻辑门电路实验(1)实验目的:熟悉TTL、CMOS逻辑门电路的逻辑功能和测试方法。

(2)实验步骤:1)搭建TTL与非门电路,测试其逻辑功能;2)搭建CMOS与非门电路,测试其逻辑功能;3)测试TTL与门、或门、非门等基本逻辑门电路的逻辑功能。

2. 触发器实验(1)实验目的:掌握触发器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建D触发器电路,测试其逻辑功能;2)搭建JK触发器电路,测试其逻辑功能;3)搭建计数器电路,实现计数功能。

3. 计数器实验(1)实验目的:掌握计数器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建同步计数器电路,实现加法计数功能;2)搭建异步计数器电路,实现加法计数功能;3)搭建计数器电路,实现定时功能。

4. 寄存器实验(1)实验目的:掌握寄存器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建4位并行加法器电路,实现加法运算功能;2)搭建4位并行乘法器电路,实现乘法运算功能;3)搭建移位寄存器电路,实现数据移位功能。

五、实验结果与分析1. 逻辑门电路实验通过搭建TTL与非门电路和CMOS与非门电路,测试了它们的逻辑功能,验证了实验原理的正确性。

2. 触发器实验通过搭建D触发器和JK触发器电路,测试了它们的逻辑功能,实现了计数器电路,验证了实验原理的正确性。

3. 计数器实验通过搭建同步计数器和异步计数器电路,实现了加法计数和定时功能,验证了实验原理的正确性。

数字化系统总结报告范文(3篇)

数字化系统总结报告范文(3篇)

第1篇一、前言随着信息技术的飞速发展,数字化系统在各个行业中的应用越来越广泛。

为了更好地总结和提升数字化系统的应用效果,本报告对某企业数字化系统进行总结,分析其优缺点,提出改进建议,以期为我国数字化系统的发展提供参考。

一、数字化系统概述1. 系统背景某企业为提高生产效率、降低成本、优化管理,于2019年启动数字化系统项目。

经过近一年的研发和实施,数字化系统于2020年正式上线运行。

2. 系统功能数字化系统主要包括以下功能模块:(1)生产管理:实现生产计划、生产调度、生产进度跟踪、生产数据分析等功能。

(2)质量管理:实现质量检验、质量追溯、质量分析等功能。

(3)设备管理:实现设备维护、设备维修、设备备件管理等功能。

(4)供应链管理:实现供应商管理、采购管理、库存管理、物流管理等功能。

(5)人力资源:实现员工招聘、培训、绩效管理、薪酬管理等功能。

(6)财务管理:实现成本核算、预算管理、资金管理等功能。

二、数字化系统实施效果1. 提高生产效率数字化系统的实施,使得生产计划、生产调度、生产进度跟踪等环节实现了信息化、自动化。

通过实时监控生产进度,优化生产流程,企业生产效率提高了约20%。

2. 降低成本数字化系统通过优化供应链管理,降低采购成本、库存成本、物流成本等,使企业整体成本降低了约10%。

3. 优化管理数字化系统为企业管理提供了数据支持,帮助企业实时掌握生产、质量、设备、供应链、人力资源、财务等各个环节的情况,提高了管理水平。

4. 提升员工满意度数字化系统简化了员工工作流程,降低了工作强度,提高了工作效率,使员工满意度得到了提升。

三、数字化系统优缺点分析1. 优点(1)提高生产效率:数字化系统实现了生产环节的自动化、信息化,提高了生产效率。

(2)降低成本:通过优化供应链管理,降低了企业成本。

(3)优化管理:为企业提供了数据支持,提高了管理水平。

(4)提升员工满意度:简化了员工工作流程,降低了工作强度。

数字系统设计综合实验报告参考模板

数字系统设计综合实验报告参考模板

数字系统设计综合实验报告实验名称:1、加法器设计2、编码器设计3、译码器设计4、数据选择器设计5、计数器设计6、累加器设计7、交通灯控制器设计班级:姓名:学号:指导老师:实验1 加法器设计1)实验目的(1)复习加法器的分类及工作原理。

(2)掌握用图形法设计半加器的方法。

(3)掌握用元件例化法设计全加器的方法。

(4)掌握用元件例化法设计多位加法器的方法。

(5)掌握用Verilog HDL语言设计多位加法器的方法。

(6)学习运用波形仿真验证程序的正确性。

(7)学习定时分析工具的使用方法。

2)实验原理加法器是能够实现二进制加法运算的电路,是构成计算机中算术运算电路的基本单元。

目前,在数字计算机中,无论加、减、乘、除法运算,都是化为若干步加法运算来完成的。

加法器可分为1位加法器和多位加法器两大类。

1位加法器有可分为半加器和全加器两种,多位加法器可分为串行进位加法器和超前进位加法器两种。

(1)半加器如果不考虑来自低位的进位而将两个1位二进制数相加,称半加。

实现半加运算的电路则称为半加器。

若设A和B是两个1位的加数,S 是两者相加的和,C是向高位的进位。

则由二进制加法运算规则可以得到。

(2)全加器在将两个1位二进制数相加时,除了最低位以外,每一位都应该考虑来自低位的进位,即将两个对应位的加数和来自低位的进位三个数相加,这种运算称全加。

实现全加运算的电路则称为全加器。

若设A、B、CI分别是两个1位的加数、来自低位的进位,S是相加的和,C是向高位的进位。

则由二进制加法运算规则可以得到:3)实验内容及步骤(1)用图形法设计半加器,仿真设计结果。

(2)用原件例化的方法设计全加器,仿真设计结果(3)用原件例化的方法设计一个4为二进制加法器,仿真设计结果,进行定时分析。

(4)用Verilog HDL语言设计一个4为二进制加法器,仿真设计结果,进行定时分析。

(5)分别下载用上述两种方法设计4为加法器,并进行在线测试。

4)设计1)用图形法设计的半加器,如下图1所示,由其生成的符号如图2所示。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电路与系统设计实验报告班级:学号:姓名:地点:批次:时间:一.实验目的通过基本门电路性能测试实验使学生掌握基本门电路的工作原理、门电路的外特性(IC门电路的引脚排列顺序,输入/输出电平要求等);通过计算机仿真技术使学生掌握组合逻辑电路的设计方法,掌握触发器功能及其波形关系,掌握时序电路的设计方法,培养学生的实践动手能力与实验技能。

二.实验内容●实验一基本逻辑门电路实验一、基本逻辑门电路性能(参数)测试(一)实验目的1、掌握TTL与非门、与或非门与异或门输入与输出之间的逻辑关系。

2、熟悉TTL中、小规模集成电路的外型、管脚与使用方法。

(二)实验所用器件与仪表l、二输入四与非门74LS00 1片2、二输入四或非门74LS02 1片3、二输入四异或门74LS86 1片(三)实验内容1.测试二输入四与非门74LS00一个与非门的输入与输出之间的逻辑关系。

2.测试二输入四或非门74LS02一个或非门的输入与输出之间的逻辑关系。

3.测试二输入四异或门74LS86一个异或门的输入与输出之间的逻辑关系。

(四)实验提示1、将被测器件插入实验台上的14芯插座中。

2、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。

3、用实验台的电平开关输出作为被测器件的输入。

拨动开关,则改变器件的输入电平。

4、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。

指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。

(五)实验接线图及实验结果74LS00中包含4个二输入与非门,7402中包含4个二输入或非门,7486中包含4个二输入异或门,它们的引脚分配图见附录。

下面各画出测试7400第一个逻辑门逻辑关系的接线图及测试结果。

测试其它逻辑门时的接线图与之类似。

测试时各器件的引脚7接地,引脚14接十5V。

图中的K1、K2接电平开关输出端,LED0就是电平指示灯。

1、测试74LS00逻辑关系2、测试74LS28逻辑关系3、测试74LS86逻辑关系输 入输 出引脚1引脚3引脚2LED0LL L L H H HH图1.1 测试74LS00逻辑关系接线图表1.1 74LS00真值表输 入输 出引脚2引脚1引脚3K1K2LL L L H H HH图1.2 测试74LS28逻辑关系接线图表1.2 74LS28真值表输 入输 出引脚1引脚3引脚2K1K2123LED0LL L L H H HH图1.3 测试74LS86逻辑关系接线图表1.3 74LS86真值表二、 TTL、HC与HCT器件的电压传输特性(一)、实验目的1、掌握TTL、HCT与 HC器件的传输特性。

2、掌握万用表的使用方法。

(二)、实验所用器件与仪表1、六反相器74LS04片2、六反相器74HC04片3、六反相器74HCT04片4、万用表(三)、实验说明与非门的输出电压Vo与输入电压Vi的关系Vo=f(Vi)叫做电压传输特性,也称电压转移特性。

它可以用一条曲线表示,叫做电压传输特性曲线。

从传输特性曲线可以求出非门的下列有用参数:、输出高电平(VOH)、输出低电平(VOL)、输入高电平(VIH)、输入低电平(VIL)、门槛电压 (VT)(四)、实验内容1、测试TTL器件74LS04一个非门的传输特性。

2、测试HC器件74HC04一个非门的传输特性。

3、测试HCT器件74HCT04一个非门的传输特性。

(五)、实验提示1、注意被测器件的引脚7与引脚14分别接地与十5V。

2、将实验台上4、7KΩ电位器RTL的电压输出端连接到被测非门的输入端,RTL的输出端电压作为被测非门的输入电压。

旋转电位器改变非门的输入电压值。

3、按步长0、2V调整非门输入电压。

首先用万用表监视非门输入电压,调好输入电压后,用万用表测量非门的输出电压,并记录下来。

(六)、实验接线图及实验结果1、实验接线图由于 74LS04、74HC04与 74HCT04的逻辑功能相同,因此三个实验的接线图就是一样的。

下面以第一个逻辑门为例,画出实验接线图(电压表表示电压测试点)如下+5V图1.4 实验二接图2、输出无负载时74LS04、74HC04、74HCT04电压传输特性测试数据3、按测试结果给出输出无负载时74LS04、74HC04与 74HCT04电压传输特性曲线,并与下图比较。

,,但就是从图观点 (1)74LS 芯片的最大输入低电平V IL低于74HC 芯片的最大输入低电平V ILIH低于74HC 芯片的最小输出高电平VIH。

(2IL、最小输入高电平VIH与74HCT 芯片的IH相同。

(3OL高于74HC 芯片与74HCT 芯片的最大输OH低于74HC 芯片与74HCT 芯片的最小输出高电平VOH。

(4)74HC 芯片的最大输出低电平 VOL、最小输出高电平 VOH与 74HCT 芯片的最大输出低电平VOL、最小输出高电平VOH相同。

5.在暂时不考虑输出负载能力的情况下,从上述观点可以得出下面的推论: (1)74H CT 芯片与74HC 芯片的输出能够作为 74LS 芯片的输入使用。

(2)74LS 芯片的输出能够作为74HCT 芯片的输入使用。

图1.5 74LS04电压传输特性曲线12345Vo(V)V I (V)12345Vo(V)V I (V)图1.6 74HC04电压传输特性曲线图1.7 74HCT04电压传输特性曲线12345Vo(V)V I (V)实际上,在考虑输出负载能力的情况下,上述的推论也就是正确的。

应当指出,虽然在教科书中与各种器件资料中,74LS 芯片的输出作为74HC 芯片的输入使用时,推荐的方法就是在74LS 芯片的输出与十5V电源之间接一个几千欧的上拉电阻,但就是由于对74LS 芯片而言,一个74HC 输入只就是一个很小的负载,74LS 芯片的输出高电平一般在3、5V ~4、5V 之间,因此在大多数的应用中,74LS 芯片的输出也可以直接作为74HC 芯片的输入。

三、逻辑门控制电路1) 用与非门与异或门安装如图1、8(a)所示的电路。

检验它的真值表。

2)的S ,观/B实验二 组合逻辑电路部件实验实验目的:掌握逻辑电路设计的基本方法74138、7483图元符号,记录波形;分析 2.4位二进制加法器7483的波形仿真 4位二进制加法器集成电路 74LS83中,A与 B就是两个4位二进制数的输入端,C out ,S 3,S 2,S 1,S 0就是5位输出端。

C in 就是进位输入端,而C out 就是进位输出端。

(二) 简单逻辑电路设计AB CY 控制输入端(a)(b)图1.8 (a)多重控制门,(b)真值表图1.9实验逻辑电路BB S 选通选择线X 0X 1利用EDA工具MAX-PlusII的原理图输入法,输入设计的电路图;建立相应仿真波形文件,并进行波形仿真,记录波形与输入与输出的时延差;分析设计电路的正确性。

1.设计一个2-4译码器E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出。

2.设计并实现一个4位二进制全加器(1) 二进制全加器原理:对两个n位二进制改作加法运算的数字电路就是由一个半加器与(n-1)个全加器组成。

它把两个n位二进制数作为输入信号。

产生一个(n+1)位二进制数作它的与。

一个n位二进制加法器的方框图如图2、1所示。

图中A与B就是用来相加的两n位输入信号,Cn-1,Sn-1,Sn-2, ······S2,S1,S0就是它们的与。

在该电路中方对A0与B0相加就是用一个半加器,对其它位都用全加器。

如果需要串接这些电路以增加相加的位数,那么它的第一级也必须就是一个全加器。

图4、4表示都用全加器实现的加法器电路。

A n就是被加数,B n就是加数,S n就是与数,C n就是向高位的进位,C n-1就是低位的进位。

②利用1位二进制全加器构成一个4位二进制全加器3.交叉口通行灯逻辑问题的实现图表示一条主干公路(东一面)与一条二级道路的交叉点。

车辆探测器沿着A、B、C与D线放置。

当没有发现车辆时,这些敏感组件的输出为低电平‘0”。

当发现有车辆时,输出为高电平“1”。

交叉口通行灯根据下列逻辑关系控制(a)东一西灯任何时候都就是绿的条件(l)C与D线均被占用; 西(2)没有发现车辆(3)当A、B线没同的占用时C或D任一条线被占用(b)南一北灯任问时候都就是绿的条件(1)A与B线均被占用,而C与D线均未占用或只占用一条线;(2)当C与D均未被占用时,A或B任一条线被占用。

有两个输出端,南北与东西,输出高电平对应绿灯亮,输出低电平对应红灯亮。

用敏感组件的输出作为逻辑电路输入信号,对所给的逻辑状态建立一个真值表,化简后得最简逻辑表达式,用与非门实现该电路、并用波形仿真设计电路的功能,分析其正确性之。

4.设计一个7位奇/偶校验器奇/偶校验代码就是在计算机中常用的一种可靠性代码。

它由信息码与一位附加位——奇/偶校验位组成。

这位校验位的取值(0或1)将使整个代码串中的1的个数为奇数(奇校验代码)或为偶数(偶校验代码)。

(1) 奇/偶校验位发生器(a)奇/偶校验位发生器就就是根据输入信息码产生相应的校验位。

奇校验位定义就是指当输入信息码中1的数目为奇数个时,奇校验位为0;当输入信息码中“1”的数目为偶数个时,奇校验位为1;使得信息码与奇校验位中“1”的总数目为奇数个,偶校验位的定义则相反。

图2、3就是4位信息码的奇校验位发生器电路。

它就是基于“异或”门的“相同得0、相异得1”原理设计的。

图 2、3 PX3X1X2B8B4B2B11据图可推知:当B 8B 4B 2B 1中的1的个数为偶数时此奇校验位发生器输出的校验位P 为1,反之为0。

(b) 设计一个7位二进制代码分别为a0、a1、a2、a3、a4、a5、a6的奇/偶校验位发生器,奇校验位为P,偶校验位为E 。

逻辑表达式如下:P=a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6 E= P 。

(2) 奇/偶校验代码校验器(a)奇/偶校验器用于校验奇(偶)校验代码在传送与存储中有否出现差错,它具有发现所有奇数个位数错的能力。

所谓奇校验器,即:若输入代码中1的个数为奇数,则输出1(表示正常),反之输出0。

所谓偶校验器,即:若输入代码中1的个数为偶数,则输出1(表示正常),反之输出0。

(b) 设计一个8位二进制代码分别为a0、a1、a2、a3、a4、a5、a6、 p 的奇校验器。

逻辑表达式如下:S= a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6⊕P显然,当校验器的输入代码a0a1a2a3a4a5a6 p 中1的个数为奇数时,校验器的输出S 为1、反之S 为0。

5. 设计一个四选一单元(数据选择器)电路FD86数据选择器又称输入多路选择器、多路开关。

相关文档
最新文档