汽车尾灯控制电路设计..

合集下载

基于Multisim的汽车尾灯控制设计精选全文

基于Multisim的汽车尾灯控制设计精选全文

可编辑修改精选全文完整版一、设计任务汽车尾灯控制电路的设计,要求实现汽车左转弯、右转弯、停止等条件下尾灯的点亮与熄灭情况。

二、设计条件本课题设计基于强大的数字电路板仿真软件Multisim,该软件具有电脑模拟各种电路功能,其运用各种仿真器件可达到现实器件同样的功能效果。

三、设计要求假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)1、汽车正常运行时指示灯全灭;2、左转弯时左侧3个指示灯按左循环顺序点亮;3、右转弯时右侧3个指示灯按右循环顺序点亮;4、临时刹车时,所有指示灯同时闪烁。

四、设计内容设计内容包括运用主从JK触发器构成一个3进制计数器,为汽车尾灯按左(右)循环闪烁控制电路的设计提供脉冲;并使用74LS138D3线-8线译码器来控制指示灯的亮灭情况。

设计一个开关控制电路,来控制尾灯的闪烁,并决定74LS138D译码器的工作情况。

1.设计思想:开关控制电路译码电路驱动电路A B三进制计数电路图1 汽车尾灯控制框图图一为汽车尾灯控制电路方框图,其中比较复杂的是三进制计数电路和译码电路。

开关控制电路由2个开关控制,通过控制对译码电路提供的信号来控制驱动电路;三进制计数器电路由2个主从JK触发器构成,通过主从JK触发器的特性构成时序逻辑电路来实现三进制计数;译码电路采用74LS138D译码器,使用3线8线译码器可以控制8个端口的输出,而本实验只需要使用6个端口,其余两个端口闲置。

通过三进制计数器和开关控制电路来控制译码器6个端口的逻辑状态;驱动电路采用常用的LED管,采用共阳极形式,LED管的正极接+5V电压,负极通过驱动电路来控制LED的亮灭情况。

2.电路结构与原理图(1)开关控制电路:如图2图2 开关控制电路开关两端一端接高电平,一端接地(低电平)。

74LS86D与74LS138的输入控制端连接,当开关同时闭合或断开的时候,输入相同,74LS86输出为“0”,则74LS138不译码。

若两开关同时断开,则74LS04D的输出为“1”;而74LS10D接有CP脉冲,所以此时74LS00D 的输出完全决定于CP脉冲;当两开关同时闭合,74LS00D输出为“1”;B开关打开;B开关闭合,A开关打开时的分析也按照上面的方法来分析实现。

汽车尾灯控制电路

汽车尾灯控制电路

汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路

实验二十七汽车尾灯控制实验精选全文

实验二十七汽车尾灯控制实验精选全文

可编辑修改精选全文完整版
实验二十七汽车尾灯控制实验(研究型)
一、实验目的
1、运用数字逻辑电路的基础知识设计实用逻辑电路。

2、提高学习兴趣
二、实验设备及器件
1、万用表 1块
3、器件自选
三、实验内容及步骤
1、设汽车左右各三个尾灯,利用两个开关模拟汽车左右拐弯,当两个开关为11时,汽车后面6个尾灯全亮;当两开关为10时,汽车左拐,左边三个尾灯依次从右往左循环亮;而当两开关为01时,表示汽车右拐,则右边三个尾灯依次从左往右循环亮;开关为00汽车后面6个尾灯全暗。

原理线路如图27-1所示
图 27-1
2、选器件,画实验连线图(学生来完成)。

3、调试(学生来完成)。

四、实验要求
1、独立组装调试,通过老师当场验收。

2、交出完整的实验报告。

汽车尾灯控制电路课程设计报告

汽车尾灯控制电路课程设计报告

汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

1.2设计要求1、汽车正常运行时尾灯全部熄灭。

2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。

3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。

4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。

设计要求具体见表1-1。

表1-1 汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

首先,设置两个可控的开关,可产生00、01、10、11四种状态。

开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。

三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。

原理图如2-1所示:图 2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。

有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。

74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。

(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。

(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。

(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。

(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。

(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。

4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。

二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。

2、介绍实验要求,以及实验前的电路准备。

3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。

4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。

5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。

6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。

7、完成实验后,进行实验结果分析,并对实验总结进行评价。

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。

二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。

2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。

3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。

(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。

(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。

(4)临时刹车时,所有指示灯同时闪烁。

三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。

1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。

(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。

2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。

3-8译码器是低电平有效,从而控制尾灯按要求点亮。

3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。

2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。

选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。

3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。

将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。

发光二极管将让的的点亮和熄灭。

这部分电路需要确保足够的电流能够流过LED,以使其正常发光。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。

二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。

三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。

汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。

四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。

五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。

本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。

数电汽车尾灯控制电路课程设计精选全文

数电汽车尾灯控制电路课程设计精选全文

可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。

(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。

三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。

要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。

针对以上三项要求,我们设计了相应的模块。

用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。

四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。

针对以上三项要求,我们设计了相应的模块。

用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。

二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。

表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。

可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。

当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。

RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。

在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。

本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。

一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。

数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。

在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。

所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。

二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。

这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。

工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。

2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。

NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。

通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。

3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。

按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。

4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。

在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。

三、实验结果通过将设计好的电路拼装后,进行了实验测试。

实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。

数电课程设计题目

数电课程设计题目

1001.1002班数电课程设计题目:要求: 1.学生分组, 每组限6人, 特殊情况需说明2.查阅资料, 器件选型, 提供元器件清单, 本周五(11月9日)前需完成3、后续待课程设计时候再做具体要求!一、汽车尾灯控制电路1.设计任务设计一个汽车尾灯控制电路, 要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟), 当在汽车正常运行时指示灯全灭;在右转弯时, 右侧3个指示灯按右循环顺序点亮;在左转弯时, 左侧3个指示灯按左循环顺序点亮;在临时刹车时, 所有指示灯同时点亮。

2.参考设计方案分析以上设计任务, 由于汽车左转弯或右转弯时, 3个指示灯循环点亮, 所以用三进制计数器控制译码器电路顺序输出低电平, 从而控制尾灯按要求点亮。

由此得出在每种运行状态下, 各指示灯与给定条件间的关系, 即逻辑功能表1-1所示。

汽车尾灯控制电路设计总体框图如图1-1所示。

汽车尾灯和汽车运行状态表1-1开关控制汽车运行状态右转尾灯左转尾灯S1 S2D1D2D3D4D5D60 0 正常运行灯灭灯灭0 1 右转弯按D1D2D3顺序循环点亮灯灭1 0 左转弯灯灭按D4D5D6顺序循环点亮1 1 临时刹车所有尾灯同时点亮图1-1汽车尾灯控制电路设计总体框图二、自动循环计数器1.设计任务①用集成计数器实行3~9自动循环计数。

②电路能实现3~9加法和3~9减法循环计数。

③输出用数码显示。

2.参考设计方案分析设计任务, 该系统由加减控制模块、可逆计数器、译码显示模块构成。

完成由加法计数自动转向减法计数。

可逆计数器实现加法、减法计数功能。

加减控制模块可由门电路组成的基本RS触发器和其它控制门电路构成。

译码显示模块完成该结果的数字显示。

自动循环计数器设计框图如图2-1所示。

图2-1 自动循环计数器设计框图三、篮球竞赛30秒计时器1.设计任务①30秒计时器具有显示30秒的计时功能。

②系统设置外部操作开关, 控制计时器的直接清零、启动和暂停/连续功能。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计一、设计任务与要求假设汽车尾部有4个指示灯(用发光二极管模拟),设计要求:(1)汽车正常运行时指示灯全灭(开关s1,s2同时断开);(2)右转弯时,4个指示灯按右循环顺序发光(s1断开、s2闭合);(3)左转弯时,4个指示灯按左循环顺序发光(s1闭合、s2断开);(4)刹车时,所有指示灯全亮(s1、s2同时闭合)。

二、实验设备数字电路试验箱,函数发生器,74LS00,74LS86,74LS161, 74LS138三、设计原理1、汽车尾灯控制电路系统框图电路系统框图如下图所示2、设计步骤列出尾灯变化与开关状态的关系表如下表。

汽车尾灯与开关状态关系表由于汽车左右转弯时,四个指示灯循环发光,所以用四进制计数器控制译码器电路顺序输出低电平,再加之门电路,从而控制尾灯按要求发光。

由此得出在每一种运行情况下,各指示灯与各给定条件S1,S2,CP,Q1,Q0的关系,如下表所示。

首先是设计四进制计数器,用74LS161是很容易实现的,只要接回Q1,Q0这就是四进制计数器了。

由于当A与B逻辑值相等时,四进制计数器相当于对控制电路没有影响,因此可以将A与B异或然后接到74LS138的使能端EN1上,这样可保证A与B同时为1或0时,74LS138不工作,也就是四进制计数器对控制电路没影响了。

由于四进制计数器的循环方向是一致的,因此需要在四进制计数器和三八译码器加门电路。

以保证电路的输出。

左转右转的状态转换表A1因此111A S Q =⊕因此 :010A S Q =⊕由于S1,S2为00和11时,三八译码器不工作,因此0m 1m 2m 3m 都为逻辑1,此时必须将S1,S2通过门电路来控制指示灯的开断。

此时可以先把S1与S2与非然后分别和0m 1m 2m 3m 与非,这样就可以实现S1,S2为00和11时,指示灯去全灭和全亮。

当S1,S2为01和10时,S1与S2与非后为1,再与0m 1m 2m 3m 与非,为0m 1m 2m 3m ,正是我们所要的结果。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。

二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。

由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。

本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。

三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。

四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。

汽车尾灯控制电路设计毕业论文(经典)

汽车尾灯控制电路设计毕业论文(经典)

汽车尾灯控制电路设计毕业论文(经典) 【摘要】汽车尾灯是车辆行驶过程中必不可少的安全装置。

常见的汽车尾灯控制方式有手动和自动两种,其中后者可以根据车速自动控制尾灯的亮度和闪烁频率。

本文设计了一种基于Arduino控制器的汽车尾灯控制电路,并通过实验验证了其功能和性能。

【关键词】汽车尾灯;Arduino;控制电路;自动控制;实验验证【Abstract】The taillights of automobiles are essential safety devices during driving. There are two common control methods for automobile taillights: manual and automatic. The latter can automatically control the brightness and flash frequency of the taillights according to the vehicle speed. This paper designs a car taillight control circuit based on the Arduino controller and verifies its function and performance through experiments.【Keywords】car taillight; Arduino; control circuit; automatic control; experimental verification一、引言汽车尾灯是车辆行驶过程中必不可少的安全装置之一,其主要作用是在夜间或恶劣天气条件下使后方车辆和行人能够清晰地看到车辆的行驶方向和位置,以避免发生交通事故。

在尾灯控制方式上,传统的手动控制以及可以根据车速自动控制的自动控制方式得到了广泛应用。

数字电路课程设计(汽车尾灯控制)

数字电路课程设计(汽车尾灯控制)

数字电路课程设计(汽车尾灯控制)西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R 1→R 1R 2→R 1R 2R 3→全灭→R 1)时间间隔0.5S (采用一个2HZ 的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1L 2→L 1L 2L 3→全灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1R 2R 3 L 1L 2L 3点亮);当汽车后退的时候所有灯循环点亮;当晚上行车的时候汽车灯的最下一个灯一直点亮。

二、设计条件利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74160,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。

汽车尾灯控制电路设计总体框图如图1所示。

汽车尾灯和汽车运行状态表1-1开关控制 汽车运行状态右转尾灯 左转尾灯 S 0S 1S 2 R 1R 2R 3 L 1L 2L 3 0 0 0正常运行 灯灭 灯灭 0 0 1左转弯 灯灭按L 1L 2L 3顺序循环点亮 0 1 右转弯 按R 1R 2R 3顺序灯灭循环点亮0 1 1临时刹车/检测所有尾灯同时点亮 10 0倒车所有尾灯按照转弯次序点亮10 1晚上行车时R 3 ,L 3一直点亮图1 汽车尾灯控制电路设计总体框图四、设计内容⑤⑥(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明:由于汽车灯是的点亮是给人的不同的信息及该车将要发生的动作,所以汽车的灯在闪烁的时候不能超过一定的频率,但是频率也不能太小,所以我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率f 约为2HZ 。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1、EDA技术发展及介绍1.1EDA技术的介绍EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL ( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。

HDL 语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。

设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。

目前,就FPGA/CPLD 开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。

1.2 EDA技术的发展可将EDA技术分为三个阶段。

(1)七十年代为CAD阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

(2)八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计,这就是计算机辅助工程的概念。

CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

(3)九十年代为ESDA阶段,尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。

在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。

基于以上不足,人们开始追求:贯彻整个设计过程的自动化,这就是ESDA即电子系统设计自动化。

1.3 EDA技术的发展趋势目前的EDA产业正处在一场大变革的前夕,对更低成本、更低功耗的无止境追求和越来越短的产品上市压力正迫使IC供应商提供采用0.13μm或以下的千万门级的系统芯片,而这些系统芯片的高复杂性设计更加依赖于EDA供应商提供全新的设计工具和方法以实现模拟前后端、混合信号和数字电路的完全整合。

然而,这些新的需求为当代EDA 工具和设计方法带来了不少新的挑战与机会。

例如,如何在工艺上防止模拟电路与数字电路之间的干扰;现有的大部份EDA工具最多只能处理百万门级设计规模,随着IC设计向千万门级以上规模发展,现有EDA工具和方法必须进行升级。

如何融合各EDA供应商的工具,以便向IC设计界提供更高效能和更方便的RTL-to-GDSII或Conc-ept-to-GDSII整合设计环境;为保证深亚微米(0.13μm或以下)和更低内核工作电压(1.8V或以下)时代的信号完整性和设计时序收敛,必须采用新的设计方法。

半导体工艺的每一次跃升都促使EDA工具改变自己,以适应工艺的发展;反过来EDA 工具的进步又推动设计技术的发展。

可以说EDA工具是IC设计产业的背后推手。

系统芯片(SOC)正在迅速地进入主流产品的行列。

由此引发的“芯片就等于整机”的现象,将对整个电子产业形成重大的冲击。

种种迹象表明,整个电子产业正在酝酿着一场深刻的产业重组,这将为许多新兴的企业提供进入这一行业的最佳。

2、总体方案设计2.1设计内容要求设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R2→R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L2→L3→全灭→L1);汽车倒车或临时刹车时,所有指示灯按时钟信号同步闪烁。

2.2设计方案比较方案一:由单片机AT89S52来实现汽车尾灯控制电路的设计,外围电源采用+5V 电源供电,时钟由12MHZ的晶振产生,通过按键的状态来检测汽车的行使状态,中央处理器由AT89S52单片机来完成,汽车行使状态由左右两侧的各三个LED发光二极管来模拟。

这种方案,结构简单容易掌握,各部分电路实现起来都非常容易,在传统的汽车尾灯设计中也应用得较为广泛,技术成熟。

其原理框图如图2-1:图2-1单片原理实现框图方案二:基于现场可编程逻辑门阵列FPGA,通过EDA技术,采用VerilogHDL硬件描述语言实现汽车尾灯控制电路设计。

程序设计思想为:对输入信号采用四种状态进行优先编码实现A1、A0的组合,由时钟触发环形技术器进行环形计数,输出中间状态Q2、Q1、Q0,再通过组合逻辑电路输出结果状态。

其框图如图2-2:图2-2汽车尾灯电路控制框图2.3方案论证通过方案一二的比较,可以看出方案一的设计使用分立元件电路较为多,因此会增加电路调试难度,且电路的不稳定性也会随之增加,而采用FPGA芯片实现的电路,由于在整体性上较好,在信号的处理和整个系统的控制中,FPGA的方案能大大缩减电路的体积,提高电路的稳定性。

此外其先进的开发工具使整个系统的设计调试周期大大缩短,一般来讲,同样的逻辑,基于FPGA要比基于单片机要快很多,因为它们工作的原理是完全不同的。

单片机是基于指令工作的,同样的激励到达单片机后,单片机首先要判断,然后读取相应的指令,最后作出相应,这每一步都是需要在单片机的时钟驱动下一步步的进行。

而基于FPGA则是把相应的逻辑“暂时”固化为硬件电路了,它对激励作出的响应速度就是电信号从FPGA的一个管脚传播另一个管脚的传播速度,当然这指的是异步逻辑,同时电信号也要在芯片内进行一些栅电容的充放电动作,但这些动作都是非常非常快的。

2.4方案选择结合现代汽车的整体性能的提升,也对其各个部件的性能提出了更高的要求,尤其在现代SOC技术的引领下,人们对低故障、高实时、高可靠、高稳定的性能更加青睐,结合本设计的要求及综合以上比较的情况,我们选择了基于FPGA的汽车尾灯控制电路方案。

3、单元模块设计本设计由现场可编程门矩阵(FPGA)作为控制芯片,通过VreilogHDL硬件描述语言设计,运用自顶而下的设计思想,按功能逐层分割实现层次化的设计。

总体设计方案为由按键(I3、I2、I1)状态模拟汽车的行驶状态输入,通过优先级编码器编码为具有优先级的A1、A0状态量;而尾灯的循环点亮状态由环形计数器来实现,与时钟频率同步闪烁状态按时钟状态取反来实现。

下面介绍主要模块的功能及作用。

3.1有源晶振电路图3-1有源晶振电路采用有源晶振作为时钟信号源,它是一个完整的振荡器,其内部除了石英晶体外还有阻容软件和晶体管,有源晶振信号质量好,比较稳定,而且连接方式比较简单。

主要是作为电源滤波,通常使用的为一个电容和电感组成的PI型滤波网络,输出端使用一个小阻值电阻过滤信号。

串电阻可减小反射波,避免反射波叠加引起过冲,减少谐波以及阻抗匹配,减小回波干扰及导致的信号过冲。

由于本设计所用的为20MHZ的晶振,而20MHz以下的晶体晶振基本上都是基频的器件,稳定度好,20MHz以上的大多是谐波的(如3次谐波、5次谐波等等),稳定度差,因此我们使选用频的器件,毕竟倍频用的PLL电路需要的周边配置主要是电容、电阻、电感,其稳定度和价格方面远远好于晶体晶振器件。

3.2供电电路图3-2供电电路本设计中使用到了三个电源,第一是+5V的电源,用于为上拉电阻提供电压;第二是+3.3V电源,用于为FPGA芯片提供工作电压;第三是+2.5V电源,用于为FPGA芯片内核工作提供电压。

在FPGA芯片管脚上,+2.5V电源必须接在内核电源输入端(VCCINT)上,而VCCIO是芯片输入输出引脚工作电源,根据输入输出的设备不同,可以接2.5 V、3.3 V或5.0 V。

特别注意的是EPC1PC8的工作电压必须为3.3V,且该配置芯片属于Flash Memory 闪存)器件,具有可擦写的功能。

3.3 PS配置电路图3-3 配置电路配置电路采用被动串行(PS)模式,为了利用ByteBlasterMV下载电缆配置EP1K30TC144器件,3.3V的电源应该接上拉电阻,电缆的VCC脚连到3.3V电源,而器件的VCCINT的引脚连接到相应的2.5V,对于PS配置电路,器件的VCCIO引脚必须连接到2.5V。

上拉电阻接到配置器件的电源端,这里接到了+5V电源端。

nCS接到nCONFIG端,OE接到nSTATUS端,DCLK与DCLK相连接,DATA与DATA0相连接。

nCEO引脚端悬空。

3.4 按键输入电路图3-4 按键输入电路在设计中利用四个独立键盘来模拟汽车行驶时的四种状态,当对键被按下时,对应输入状态为低电平,通过取非后转换为输入信号为高,进而控制汽车尾灯的点亮状态。

当四个键都未被按下时表示汽车处于正常行驶状态,汽车尾灯没有任何指示。

当汽车处于刹车状态时,即I3对应的键被按下,汽车尾部的灯全部按照时钟频率同步闪烁。

3.5 LED灯输出电路图3-5 LED灯输出电路6个LED灯模拟汽车尾灯的左转、右转、刹车及正常行驶时的状态。

4、特殊器件的介绍4.1 CPLD器件介绍CPLD是Complex Programmable Logic Device的缩写,它是有最早的PLD器件发展形成的高密度可编程逻辑器件,它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点。

CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。

其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

许多公司都开发出了CPLD可编程逻辑器件。

比较典型的就是Altera、Lattice、Xilinx世界三大权威公司的产品。

如 Altera公司的MAXII器件,就是其极具代表性的一类CPLD器件,是有史以来功耗最低、成本最低的CPLD。

MAX II CPLD基于突破性的体系结构,在所有CPLD系列中,其单位I/O引脚的功耗和成本都是最低的。

Altera公司的MAX7000A系列器件是高密度、高性能的EPLD,它是基于第二代MAX 结构,采用CMOS EPROM工艺制造的。

该系列的器件具有一定得典型性,其他结构都与此结构非常的类似。

它包括逻辑阵列块、宏单元、扩展乘积项、可编程连线阵列和IO 控制部分。

相关文档
最新文档