哈工大电子技术实验四人无弃权表决电路(高分版) 新
哈工大电工4
AB AB
AB AB
A AB A
A AB AB
AB AC B C AB AC
4. 逻辑代数基本运算公式
6. 逻辑函数表示法与相互转换 7. 逻辑函数化简—— 公式法、卡诺图法
5. 逻辑代数基本定理
4. 逻辑代数基本运算公式 5. 逻辑代数基本定理
7. 逻辑函数化简—— 公式法、卡诺图法
& VO
如图是用来驱动发光二极管
+5V 270Ω
&
+12V
1
OC门(7406)驱动指示灯
(1)当输出高电平时,RP不能太大。 RP为最大值时要保证输出电压为VOH(min)。
由: VCC-VOH(min)= m' IIHRP(max)
得:
RP ( max )
VCC - VOH(min) m' IIH
7
0100
1111
13 1 1 0 1 1 0 1 1
8
1100
1110
14 1 1 1 0 1 0 0 1
9
1101
1010
15 1 1 1 1 1 0 0 0
1. 逻辑变量与逻辑函数 2. 基本逻辑运算
与、或、非(逻辑式、真值表、逻辑电路)
3. 常用复合逻辑运算
与非、或非、与或非、同或、异或(逻辑式、
数字通讯交换机、数字手机;
数字测量
仪器仪表;
数字控制;
数字计算机;
数字广播、数字电视;
数字手表;
数字照相机、数字摄像机。。。
1. 数制:计数体制(逢N进一)
■ 二进制、十进制、八进制、十六进制 ■ 各种数制之间的转换
2. 码制:用代码来表示不同事物或信息
哈工大2016高级电子技术综合实验.doc
哈工大2016高级电子技术综合实验高级电子技术综合实验电子仪器仪表的使用姓名学号学院专业日期2016年6月实验一Agilent DSO-X 2002A示波器基本应用 1. 必备知识Agilent InfiniiVision 2000X系列拥有入门级的价位和卓越的性能,以及同类产品不能提供的可选功能。
安捷伦的突破性技术可在同等预算条件下提供性能更优异的示波器。
它具有同档产品中的最大显示屏、最深存储器和最快波形更新速率,可以观察更长时间的信号,并观察更多信号的细节。
它将示波器和WaveGen内置函数发生器的功能集于一身,能够执行更多测量。
1)最大显示屏为获得最佳信号可视性,Agilent 2000 X 系列示波器配备了业内同档次中最大的显示屏。
8.5 英寸WVGA 显示屏与同档的其他示波器相比,显示面积至少大两倍,分辨率至少高五倍。
2)最快更新速率InfiniiVision 2000X系列采用安捷伦的MegaZoom IV定制ASIC技术,具有高达每秒50,000 个波形的更新速率。
利用这个速度,能观察到某段时间内的更多信号细节和偶发异常。
3)更深的存储器,更长的捕获时间Agilent 2000X系列具有高达100 kpts的存储器,比同档的其他示波器至少高40 倍,能够捕获长时间的信号,同时在调整水平设置时,可以在较大的时间/格时仍维持高采样率,并且可以对感兴趣的区域进行迅速缩放。
深存储器使示波器可在更长时间内保持高采样率。
4)业内独有的WaveGen内置函数发生器2000X系列是业界首款集成了20MHz函数发生器的波器,特别适合非常注重工作台空间和预算的教学实室或设计实验室使用。
集成的函数发生器能为被测件出正弦波、方波、斜波、脉冲、直流和噪声波形等激励。
示波器探头用来连接测试设备与示波器的输入接口。
为了保证在测试过程中,示波器及其探头不能改变测试信号的特征,需要高阻抗连接将示波器与测试电路分隔开。
哈工大电工自主设计实验_2
两位数密码组合逻辑电路
电工自主设计实验
(一)实验目的
1.掌握74LS04、74LS74、74LS08等元件的逻辑功能和使用方法;
2.通过实验,进一步熟悉组合逻辑电路的分析和设计方法。
(二)实验电路图
VCC
(三)仪器设备名称、型号
1.模拟数字电子技术试验箱
2.双路直流稳压电源
3.电阻、导线若干
(四)理论分析或仿真分析结果
(五)详细实验步骤及实验结果数据记录
⑵按照逻辑图连接好电路
⑶别对六个输入端施加高低电平,观察输出端小灯的情况
⑷列出真值表:
结论:逻辑表达式为:
(六)实验结论
1.应用74LS04、74LS74、74LS08等元件可以实现两位数密码的设置,在生活中具有广泛应用。
(七)对实验的改进及优化
应该在实验的基础上加上一个清零开关。
此密码器设计比较简单,输入正确的密码前,小灯保持不亮,表明输入密码错误。
但输入正确的密码后,小灯一直保持亮的状态,所以在后续的设计优化过程中考虑增加一个清零开关。
(八)本次实验的收获和体会、对电路实验室的意见或建议
通过实验我了解了74LS138、74LS00、74LS20等元件的逻辑功能和使用方法,同时,通过实验,更加熟悉了组合逻辑电路的分析和设计方法。
在进行组合逻辑电路的设计时,应首先将给定的逻辑问题抽象成逻辑函数,列出其真值表,再根据真值表写出逻辑函数式并对其进行化简变换,最终根据化简变换后的逻辑函数式画出逻辑电路图。
参考文献
[1]杨世彦.电工学电子技术.机械工业出版社.2008
[2]邹其洪.电工电子实验与计算机仿真.电子工业出版社.2008。
哈工大 电工电子 自主设计实验
组合逻辑电路在实际生活中的应用(一)实验目的1.掌握74LS138、74LS151、74LS00、74LS20等元件的逻辑功能和使用方法;2.能够将所学知识合理运用到生活实际之中;3.通过实验,进一步熟悉组合逻辑电路的分析和设计方法。
(二)总体设计方案将组合逻辑电路知识应用到生产生活实践中,设计出方便人们生活的电路,如电机启动控制装置、照明系统分地控制、三人表决装置等逻辑电路。
⑴电机起动控制装置:设计一个电机起动控制装置,保证三台电动机A、B、C满足:A起动,B必须起动;B起动,C必须起动;否则报警。
⑵照明系统控制装置:a.设计一个控制楼梯电灯的逻辑电路,要求无论是在楼上还是在楼下按动开关都可以打开或关掉楼梯灯。
b.设计一个路灯控制电路,具体要求是:当总电源开关闭合时,安装在三个不同地方的三个开关都能独立地控制灯的打开和熄灭;当总电源开关断开时,无论三个地方的开关是什么状态,路灯都不亮。
⑶三人表决电路:分别用与非门、译码器和数据选择器三种方法实现三人表决电路,要求:少数服从多数。
(三)实验电路图1.电机起动控制2(a)楼梯电灯控制2(b)路灯控制3.三人表决电路(a)与非门(b)译码器(c)数据选择器(四)仪器设备名称、型号1.EEL-69模拟数字电子技术试验箱2.双路直流稳压电源3.数字万用表(五)理论分析或仿真分析结果1.电机起动控制装置:A B C F0 0 0 00 0 1 00 1 0 10 1 1 01 0 0 11 0 1 11 1 0 11 1 1 01.照明系统控制装置:a.楼梯电灯控制A B C F0 0 0 00 0 1 00 1 0 10 1 1 01 0 0 11 0 1 11 1 0 11 1 1 0b.楼梯电灯控制S A B C F0 0 0 0 00 0 0 1 00 0 1 0 00 0 1 1 00 1 0 0 00 1 0 1 00 1 1 0 00 1 1 1 01 0 0 0 01 0 0 1 13.三人表决电路(六)详细实验步骤及实验结果数据记录 2.电机起动控制装置: ⑴按照逻辑图连接电路⑵分别对三个输入端施加高低电平,观察输出端电平高低情况 ⑶数据记录 ①真值表:1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1111A B C F 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 111②结论:逻辑表达式为 CB B A F +=3.照明系统控制装置: c.楼梯电灯控制 ⑴按照逻辑图连接电路⑵分别对两个输入端施加高低电平,观察输出端电平高低情况 ⑶数据记录 ①真值表:②结论:逻辑表达式为 B A B A F +=b.路灯控制⑴按照逻辑图连接电路⑵分别对四个输入端施加高低电平,观察输出端电平高低情况 ⑶数据记录 ①真值表:A B F 0 0 0 0 1 1 1 0 1 11S A B C F 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 1 111②结论:逻辑表达式为 )(ABC C B A C B A C B A S Y +++=4.三人表决电路 ⑴按照逻辑图连接电路⑵分别对三个输入端施加高低电平,观察输出端电平高低情况 ⑶数据记录 ①真值表:②结论:逻辑表达式为 ABCC B A C AB BC A Y +++=(七)实验结论1.应用74LS00、74LS20等元件可以实现电动机起动控制、路灯控制等功能,在生活中具有广泛应用。
哈工大电子技术基础自主设计实验
电子技术基础自主设计实验实验报告班级:学号:姓名:一、实验题目《一种多功能的猜拳机》二、功能实现1.两用户进行猜拳,能判断单局猜拳胜负;2.在进行多次猜拳后,能判断总比分;3.在一方未出拳时有指示灯进行提示;4.猜拳时可以达到绝对公平,双方不能看到对方或延时出拳。
三、电路组成四、实验器件5V稳压电源1台实验箱2台74LS00芯片6个74LS160芯片2个74LS48芯片2个74LS151芯片2个五、具体实现1.输入及预处理电路需求分析:由用户输入石头(100)剪子(010)布(001),得到待处理信息。
真值表:表达式:A=X1非X2X3非+X1非X2非X3B=X1X2非X3非+X1非X2非X3由所得表达式做出电路图进行仿真,得到该电路:用户2的输入电路与上述同理,记录实验所测数据,进行比较。
2. 单局信息处理电路需求分析:由前面的电路得到:没处(00)、石头(01)、剪刀(10)、布(11),该电路得到逻辑量EF:有人未出拳(00)、用户1胜(10)、用户2胜(01)、平局(11)并有指示灯进行该局胜负的显示。
真值表:做出卡诺图:E:F:得到以下表达式:E=m2D+m3D非+m5+m6D+m7DF=m2D+m3D+m4D+m5D非+m7由所得表达式做出电路图进行仿真,得到该电路:记录实验所测数据,进行比对。
3. 总比分处理电路需求分析:以用户1为例,当E由0跳至1时,应在总分加1分,因此使用到计数器,为了更直观,在其后连接一译码器与7段LED进行显示。
进行仿真,得到该电路:4.总电路将各个分电路接好,由最终电路,进行仿真,得到结果:连接实际电路,测试并记录数据,进行比对:附录1 所用芯片引脚74LS48附录2 原始数据记录表格单局信息处理电路:总电路:。
哈工大高级电工电子实验报告
Harbin Institute of Technology课程报告课程名称:高级电子技术综合实验院系:报告者:学号:时间: 2016-6-3哈尔滨工业大学实验一 basys2学习板的简单应用一、实验目的1.熟悉使用basys2学习板,了解FPGA的相关知识2.熟悉ISE软件的应用3.使用basys2实现四人表决器的功能二、实验步骤1.将板子与PC相连2.将写好的程序烧录板子中3.波动板子上的开关,进行实验验证三、相关代码// Verilog test fixture created from schematicD:\Xilinx\13.4\example\test5.26\test5.sch - Thu May 26 10:16:03 2016 `timescale 1ns / 1psmodule test5_test5_sch_tb();// Inputsreg A;reg C;reg B;reg D;// Outputwire F;// Bidirs// Instantiate the UUTtest5 UUT (.A(A),.C(C),.B(B),.D(D),.F(F));// Initialize Inputs// `ifdef auto_initinitial beginC = 0;B = 0;D = 0; #100;A = 0;C = 0;B = 0;D = 1; #100;A = 0;C = 0;B = 1;D = 0; #100;A = 0;C = 0;B = 1;D = 1; #100;A = 0;C = 1;B = 0;D = 0; #100;A = 0;C = 1;B = 0;D = 1; #100;A = 0;C = 1;B = 1;D = 0; #100;A = 0;C = 1;B = 1;D = 1; #100;A = 1;C = 0;B = 0;D = 0;A = 1;C = 0;B = 0;D = 1;#100;A = 1;C = 0;B = 1;D = 0;#100;A = 1;C = 0;B = 1;D = 1;#100;A = 1;C = 1;B = 0;D = 0;#100;A = 1;C = 1;B = 0;D = 1;#100;A = 1;C = 1;B = 1;D = 0;#100;A = 1;C = 1;B = 1;D = 1;#100;end// `endifendmodule四、实验现象A按下,BCD三个当中至少有一个也按下时,灯亮;A不按下,BCD都按下时,灯也亮,其他情况下灯不亮。
四人使用表决器电路设计.docx
名称:综合训练项目一题目:四人表决器电路设计专业:班级:姓名:学号:辽宁工程技术大学《数字电子技术》综合训练项目一成绩评定表评定标准评定指标设计内容设计报告答辩标准思路清晰方案合理、电路完整仿真结果正确格式正确顺序合理内容充实、语言流畅图表清晰叙述清晰,回答正确评定分值得分1111114总成绩日期2017 年月日《综合训练项目一》任务书一、综合训练题目四人表决器电路设计二、目的和要求1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习 word 文档制作。
2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。
利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。
成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。
上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。
三、训练计划项目综合训练课下 1 周,课上 1 节。
第1 天:针对选题查资料,确定整体设计方案;第2~3 天:学习 Multisim 仿真软件,熟悉 Visio 绘图软件。
第4~5 天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;;第6~7 天:按格式要求编写整理设计报告。
四、设计要求1.每名同学按照自己分配的任务要求完成训练。
2.绘图统一采用 Visio2010。
指导教师:日期:2017年月日四人表决器在我们生活中应用非常广泛,比如表决等。
掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。
本次的课程设计就是利用数字电子技术的知识做一个四人表决器。
在mulitisim 软件中,利用集成电路,通过四片74LS183和一片 74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。
七人表决器实验报告doc
七人表决器实验报告篇一:哈工大电工学新技术实践实验报告-7人表决器总成绩:一、设计任务1、有七人参与表决,显示赞同者个数。
2当赞同者达到及超过4人时,绿灯显示表示通过。
二、设计条件本设计基于软件Multisim10.0.1进行仿真,在电机楼实验室XX5进行验证。
三、设计要求1、熟悉74LS161,74LS151,数码管的工作原理。
2、设计相应的电路图,标注元件参数,并进行仿真验证。
四、设计内容1. 电路原理图(含管脚接线)电路原理图如图1所示图1 电路原理图2. 计算与仿真分析仿真结果如图2、3、4所示图2 仿真结果图4 仿真结果4. 调试流程调试流程如图5所示图5 调试流程5. 设计和使用说明74LS151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。
选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。
(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
图6 74LS151引脚排列表1 74LS151功能表74LS161功能:(1)异步置“0”功能:接好电源和地,将清除端接低电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。
(2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在CP的上升沿作用后,测试输出端Q3~Q0的电平。
如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。
(3)计数和进位功能:将 LD、Cr 、CET、CEP端均接高电平,CLK端输入单脉冲,记录输出端状态。
实验:三人多数表决电路设计(非电)
安全注意事项
01
02
03
04
确保电源适配器接地良好,避 免触电危险。
在使用万用表和示波器时,应 遵循操作规程,避免损坏仪器
或造成人身伤害。
在连接电路时,应确保所有连 接点牢固可靠,避免发生短路
或断路。
在实验过程中,应保持实验室 整洁,避免灰尘或其他杂物进 入电路中,影响实验结果。
03
实验步骤与操作
05
实验结论与建议
实验结论
01 02
成功实现三人多数表决电路
通过实验验证,我们成功地设计并实现了一个能够根据三个输入信号中 的多数进行表决的电路。该电路能够准确地判断三个输入信号中,哪个 是多数信号,并将该信号作为输出。
验证了电路的可靠性和稳定性
在多次实验中,该电路均能准确地完成表决任务,未出现任何故障或误 差。这表明该电路具有较高的可靠性和稳定性。
步骤一:电路设计
确定输入与输出
首先,我们需要明确实验的输入 和输出。在这个实验中,输入是 三个开关的状态(开或关),输
出是一个灯泡的亮或灭。
设计逻辑门
为了实现多数表决功能,我们需要 使用逻辑门。可以选择使用AND 和OR逻辑门来实现这个功能。
连接逻辑门
将逻辑门按照设计的逻辑关系连接 起来,以实现多数表决的功能。
数表决电路的功能。
利用逻辑门电路的输入和输出特 性,根据实际需求进行电路设计。
通过调整逻辑门电路的参数,优 化电路的性能指标,如响应速度、
稳定性等。
02
实验材料与设备
材料清单
• 3个开关
• 3个LED灯 • 3个电阻 • 3个二极管 • 1个蜂鸣器 • 1块面包板 • 导线若干
设备清单
课程设计报告---四人表决器的设计
课程设计报告---四人表决器的设计四人表决器的设计设计要求某特殊足球评委会由一位教练和三位球迷组成,对裁判员的判罚进行表决时,当满足以下条件即表示同意:(1)有三人或三人以上同意;(2)有两人同意,但其中一人必须是教练。
1、方案论证与对比1(1 方案一1.1.1 设计电路如图21.2 方案二用2输入与非门设计,同样可根据表2的真值表作卡诺图以及它的逻辑函数表达式,这里省略没作,因为与方案一比劣势明显。
该方案的电路图如下:图1 2输入与非门接线图11.3 方案对比与选择该电路的原理和方案一的区别不大,只是这个全部用的2输入与非门来实现,这个方案中其一元器件使用太过单一,而方案一中用了译码器74HC138,这就使我们更加的熟悉了译码器的适用。
其二方案一也大大减少了连线的交叉程度,方案二中因为一个芯片中含有几个与非门,只用一个又太浪费,全部用连线就会有很多交叉,使得用protel布线时带来很大的困难。
所以综合以上两个原因我们选择了方案一。
2、分析与设计2、1 译码器结构分析四人表决器即要求四个输入端,三个球迷用三线-八线的译码器,但教练的[1] 身份比较特别,为使电路简单就直接接逻辑开关。
74HC138是3线-8线译码器,其功能表如表1所示。
该译码器有3位二进制输入A0,A1,A2,它们共有8种状态的组合,即可译出8个输出信号Y0-Y7,输出为低电平有效。
此外,还设计了E3、E2和E13个是能输入端,为电路功能的扩展提供了方便。
由功能表可知,当E3=1,且E2=E1=0时,译码器处于工作状[2]态。
由功能表可得:Y0=E3*E2*E1*A2*A1*A0根据各输出的逻辑表达式可以写出最初的逻辑表达式,根据学校元件库能提供的元件,对逻辑表达式化简,于是最终确定完成本次设计的所有元器件,然后画出原理图,见图1该表清楚的表达出74HC138的逻辑功能,即三输入八输出和使能端的有效电平,同时说明了74HC138的工作状态和输出有效电平。
哈工大电工实验自主设计实验报告抢答器-计时器设计电路
姓名班级学号实验日期节次教师签字成绩实验名称抢答器-计时器设计电路1.实验目的(1)通过实验巩固和加强对书本知识的掌握;(2)提高自己的创新意识;(3)培养动手能力和独立设计并解决问题的能力;(4)利用芯片的多种功能以实现四路抢答的功能、计时功能。
2.总体设计方案或技术路线4人抢答器:用与非门构成的4人抢答电路,4个数据开关S1-S4由四位抢答者控制,无人抢答时,开关处于0状态,对应的与非门(74LS20)输出均为1,对其余的三个与非门无影响;当其中任意一位抢答者将开关扳向1时,对应的与非门输出为0电平,将其余的3个与非门锁死,令其开关输入1时不起作用,实现锁存功能。
再经过一与非门将输出结果通过指示灯显示出来。
1分钟计时电路:本电路由主持人控制,当4人抢答器确定答题选手后主持人按下开关S1A 将计时电路接通,开始计时。
本电路由555定时器产生秒脉冲,经放大电路对其输出的电平进行10倍放大,放大器输出电平作为控制计时器秒个位的74LS161的输入脉冲。
2个74LS161构成60进制计数器,并连接DCD数码管进行数字显示。
时间到达60秒后蜂鸣器报警。
3.实验电路图图1 4人抢答器4. 仪器设备名称、型号2个74LS00;2个74LS20;1个555定时器;1个双集成运算放大器LM358;2个74LS161;1个74LS08;1个5V 蜂鸣器;2个DCD 数码管5.理论分析或仿真分析结果4人抢答器:4人抢答器的主体是74LS20。
四名选手通过各自的开关答题,答题信号作为输入,输入到74ls20的一个端口。
其余三个端口由除本身以外的另外三个74LS20的输出端接入。
无人抢答时,开关处于0状态,对应的与非门(74LS20)输出均为1,对其余的三个与非门无影响;当其中任意一位抢答者将开关扳向1时,对应的与非门输出为0电平,将其余的3个与非门锁死,令其开关输入1时不起作用,从而实现锁存功能。
74LS00的两个输入端口分别接高电平(或悬空)、接对应74LS20的输出端,将抢答结果以高、低电平的形式输出,再通过接一个指示灯对抢答结果进行显示。
EDA技术-VHDL-5.1 四人抢答器设计
第5章数字系统程序设计与仿真实验5.1 四人抢答器程序设计与仿真实验1.实验目的设计一个四人抢答器。
2.实验原理系统设计一个4人参加的智力竞赛抢答器,当有一参赛选手首先按下抢答器开关时,相应显示该选手所在抢答器开关的编号,此时抢答器不再接受其他的输入信号。
电路还具有时间控制功能,要求回答问题时间小于100秒,显示为(0-99),时间显示采用倒计时,当达到限定时间时,发出提示信号。
系统组成模块如下:四输入与门模块和catch模块的功能为捕捉选手输入的开关信号;Lock模块为锁存模块,锁存产生开关信号和输入开关的状态;ch41a模块的功能是将抢答的结果转换成二进制数,易于读数;计数count 模块的功能是对100秒进行计数。
四人抢答器总体方框图如图5.1.1所示。
图5.1.1 四人抢答器总体方框图3.实验内容(1)根据实验原理,完成抢答器各模块的设计,并仿真。
(2)完成顶层设计文件的编写,实现四人抢答器的设计。
(3)对四人抢答器进行功能仿真,检验是否达到设计要求。
(4)下载,并进行硬件测试。
4.实验预习与思考(1)考虑四人抢答器设计中信号传递的时间延迟方法。
(2)如何实现当已经有选手输入抢答信号后对其他选手的输入信号进行屏蔽。
5.程序设计与仿真(1)程序设计①四输入与门模块(略)。
126②catch模块(catch.vhd)源程序如下,RTL电路如图5.1.2所示。
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity catch isPort ( cp : in std_logic; --脉冲clr : in std_logic; --复位信号q : out std_logic);end catch;architecture Behavioral of catch isbeginprocess(cp,clr)beginif clr='0' thenq<='0';elsif cp'event and cp='0' thenq<='1';end if;end process;end Behavioral;图5.1.2 catch模块RTL电路③Lock模块(lock.vhd)为锁存模块,锁存产生开关信号时和输入开关的状态,锁存模块源程序如下,RTL电路图略。
学习情景5.四人表决器的逻辑电路设计与制作
别反映在平均传输延迟时间和平均功耗这两个参数上。其他
电参数和引线引脚基本彼此相容。
• (1)74系列(简称N-TTL)。这类IC是以双极型晶体管(即通常所说 的晶体管)为开关元件。输入级采用多发射极晶体管形式(各输入端分 别对应一条发射极)。开关放大电路电都TTL。在速度和功耗方面,都 处于现在数字IC的中等程度,品种丰富、互换性强,一般均以“74”(民 用)或“54”(军用)为型号前缀,以“74”为头的均与N—TTL即74系 列对应品种引脚相容。初始的N—TTL现仍有使用,但正在被淘汰。除 FSC(仙童公司)的F-TTL外,其余系列产品都出自美国TL(德克萨斯 仪器公司)。
任务5.1 逻辑门电路的基本知识
• 【任务描述】 • 逻辑门电路是数字电路的基本部件,集
成门电路是数字集成电路的一部分,掌握 集成门电路产品的识别与测试是电子工程 技术人员的基本技能。
• • 【任务分析】 • 1.会TTL门电路的识别与测试 • 2.会CMOS门电路的识别与测试
• 【知识准备】
• 1.基本门电路的知识
• 2.1.1 TTL集成电路产品简介
• 按照国际通用标划分,依工作温度不同,TTL集成电路 分为TTL54系列(—55℃~125℃)和TTL74系列(—
70~0℃)。每一系列按工作速度、功耗有的不同,又分为 标准系列、H系列、S系列、LS系列和ALS系列等。
•
国产TTL电路共有5个系列,其中,有4个系列是作为主
输入端和一个输出端。
• 非门的逻辑功能是:输入与输出的电平相 反。表5-1-3是非门逻辑功能直值表。
• 非逻辑的运算称为非运算,又称逻辑非, 非门的逻辑表达式为
• 根据上述逻辑关系,可知是逻辑非的运算规 律为:
哈工大电子技术实验四人无弃权表决电路(高分版)
姓名XXX 班级1108301 学号11108301xx实验日期 6.5 节次9-11 教师签字成绩四人无弃权表决电路1.实验目的1)掌握74LS20的逻辑功能和使用方法;2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。
2.总体设计方案或技术路线设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。
1)根据任务的要求,设计电路;2)用代数化简法求出最简的逻辑表达式;3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路;4)最后,用实验来验证设计的正确性。
3.实验电路图1)ABCD输入端,接数据开关;Z输出端接电平指示器;2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。
4. 仪器设备名称、型号1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果74LS20管脚图:逻辑关系式:C ABDZ=ABC+BCD+ACD+ABD=AB BCDACD逻辑图:6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:A B C D F7.实验结论由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。
8.实验中出现的问题及解决对策实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。
9.本次实验的收获和体会、对电路实验室的意见或建议此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。
这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。
10.参考文献[1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
姓名班级学号
实验日期节次教师签字成绩
四人无弃权表决电路
1.实验目的
1)掌握74LS20的逻辑功能和使用方法;
2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。
2.总体设计方案或技术路线
设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。
1)根据任务的要求,设计电路;
2)用代数化简法求出最简的逻辑表达式;
3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路;
4)最后,用实验来验证设计的正确性。
3.实验电路图
1)ABCD输入端,接数据开关;Z输出端接电平指示器;
2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。
4. 仪器设备名称、型号
1)实验箱 1台
2)双踪示波器 1台
3)双路直流稳压电源 1台
4)数字万用表 1只
5)74LS20 3片
5.理论分析或仿真分析结果
74LS20管脚图:。