数字秒表电路报告
电子秒表电路实验报告

电子技术课程设计报告设计题目:电子秒表院(部):物理与电子信息学院专业班级:电子信息工程学生姓名:学号:指导教师:摘要秒表应用于我们生活、工作、运动等需要精确计时的方面。
它由刚开始的机械式秒表发展到今天所常用的数字式秒表。
秒表的计时精度越来越高,功能越来越多,构造也日益复杂。
本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。
复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。
针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。
其次安装并学习了数字电路设计中所常用的Multisim 仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。
关键字:555定时器十进制计数器六进制计数器多谐振荡器目录1.选题与需求分析 (1)1.1设计任务 (1)1.2 设计任务 (1)1.3设计构思 (1)1.4设计软件 (2)2.电子秒表电路分析 (3)2.1总体分析 (3)2.2电路工作总体框图 (3)3.各部分电路设计 (4)3.1启动与停止电路 (4)3.2时钟脉冲发生和控制信号 (4)3.3 设计十进制加法计数器 (6)3.4 设计六进制加法计数器 (7)3.5 清零电路设计 (8)3.7 总体电路图: (10)4 结束语与心得体会 (12)1.选题与需求分析1.1设计任务电子秒表在生活中可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。
有机械秒表和电子秒表两类。
机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中。
基于数字电路的电子秒表课程设计报告

基于数字电路电子秒表课程设计摘要电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。
它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。
由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。
计时系统由计数器、译码器、显示器组成。
计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。
译码器由74LS48构成,显示器由数码管构成。
清零,暂停功能由RS触发器构成防抖动开关。
具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。
该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。
关键词:计时精度计数器显示器AbstractElectronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter.Keyword:Timing accuracy counter display目录一、设计任务与要求 (2)二、方案设计与论证 (2)三、单元电路设计与参数计算 (4)1.信号发生器单元电路 (4)2.时钟分频计数单元电路 (6)3.显示及译码单元电路 (11)4.控制电路 (13)四、总原理图及元器件清单 (14)五、结论与心得 (17)六、参考文献 (18)一、设计任务与要求用74系列数字器件设计一个电子秒表,要求:1.以0.01秒为最小单位进行显示。
简易数字秒表的电路设计 概述及解释说明

简易数字秒表的电路设计概述及解释说明1. 引言1.1 概述本文主要介绍了一种简易数字秒表的电路设计。
秒表是一种用于计算时间间隔的常见工具,广泛应用于日常生活和各行各业中。
传统的机械秒表用起来不够便捷,因此我们将使用电路设计来实现一个数字秒表,使其更加方便使用。
1.2 文章结构本文分为四个主要部分进行阐述。
首先,在“引言”部分中我们将对文章进行概述和介绍。
接下来,在“简易数字秒表的电路设计”部分中,我们将详细介绍设计原理、电路元件选择与说明以及电路连接与布局等内容。
然后,在“解释说明”部分中,我们将解释秒表功能的实现方法,并探讨其功能扩展可能性,并指出在电路设计过程中需要注意的问题。
最后,在“结论”部分中,我们对本次设计成果进行总结,并就可能存在的改进空间进行分析和未来应用进行展望和思考。
1.3 目的本文旨在通过详细描述并解释简易数字秒表的电路设计,提供一个清晰易懂、全面深入的指南,帮助读者了解该设计思路及其实现方法。
同时,通过对功能扩展可能性的探讨和对电路设计过程中需要注意的问题的分析,可以引导读者在实际应用和改进中做出更好的决策。
最后,通过总结和展望,为未来的研究和发展提供参考思路。
2. 简易数字秒表的电路设计2.1 设计原理:简易数字秒表的电路设计基于计时器和显示器组成。
其主要原理是利用计时器模块产生一个稳定的时间基准,然后将该时间以数字形式显示在显示器上。
2.2 电路元件选择与说明:在设计简易数字秒表的电路时,我们需要选取合适的电子元件来实现功能。
以下是一些常见的元件选择:- 计时器芯片:可选择集成型计时器芯片,如NE555等,它们具有稳定的时钟信号输出。
- 显示屏:一般选用7段LED数码管,由于它们能够直观地显示数字。
- 驱动芯片:如果使用多个7段LED数码管进行显示,则必须选择合适的驱动芯片,如74HC595等。
这些元件经过合理的选择和配套可以实现精确、稳定地测量和显示时间。
2.3 电路连接与布局:简易数字秒表电路连接和布局对功能稳定性有重要影响。
秒表实验报告_2

实验八秒表一、实验目的:1、了解数字秒表的工作原理。
2、进一步熟悉用VHDL语言编写驱动七段数码管的代码。
3、掌握VHDL编写中的一些小技巧。
二、实验要求:实现数字秒表功能,要求有分,秒,1%秒显示,该秒表能够随时控制启/停,清零重新计时功能。
三、实验原理秒表的工作原理与多功能数字电子钟大致相同,唯一不同的是,由于秒表的分辨率为0.01秒。
所以整个秒表的工作时钟是在100HZ的时钟信号下完成的。
假设该秒表的应用场合小于1小时,秒表的显示格式为mm~~ss~~xx(mm表示分钟:0~59;ss表示秒:0~~59;xx表示百分之一秒:0~~99)。
四、实验步骤1、用VHDL语言编写出秒表电路程序,通过QuartusII 进行编辑、编译、综合、适配、仿真测试。
给出其所有信号的时序仿真波形。
2、按实验要求锁定管脚,重新综合。
3、在EDA6000软件中建立实验模式。
4、下载设计文件,硬件验证秒表工作性能。
五、实验结果1、调试的过程记录在仿真图正确后开始用EDA6000进行检验,所有的管脚都连接好后,通入100Hz的脉冲,秒表开始工作2、实验结果经过调试得到了正常工作的秒表,每一个环节的跳转过程都是正常的最终的波形图:3、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stopwatch isport(clk,rst,en:in std_logic;minh,minl,sech,secl,msh,msl:out std_logic_vector(3 downto 0)); end entity;architecture behav of stopwatch issignal minhi,minli,sechi,secli,mshi,msli:std_logic_vector(3 downto 0); signal clk1,clk2:std_logic;beginprocess(clk,en,rst)beginif rst='1' then mshi<="0000";msli<="0000";elsif clk'event and clk='1' thenif en='1' thenif (mshi="1001" and msli="1001") thenmshi<="0000";msli<="0000";clk1<='1';elsif msli="1001" thenmsli<="0000"; mshi<=mshi+1;else msli<=msli+1;clk1<='0';end if;end if;end if;end process;process(clk1,en,rst)beginif rst='1' then sechi<="0000";secli<="0000";elsif clk1'event and clk1='1' thenif en='1' thenif (sechi="0101" and secli="1001") thensechi<="0000";secli<="0000";clk2<='1';elsif secli="1001" thensecli<="0000"; sechi<=sechi+1;else secli<=secli+1;clk2<='0';end if;end if;end if;end process;process(clk2,en,rst)beginif rst='1' then minhi<="0000";minli<="0000";elsif clk2'event and clk2='1' thenif en='1' thenif (minhi="0101" and minli="1001") thenminhi<="0000";minli<="0000";elsif minli="1001" thenminli<="0000"; minhi<=minhi+1;else minli<=minli+1;end if;end if;end if;end process;msh<=mshi;msl<=msli;sech<=sechi;secl<=secli;minh<=minhi;minl<=minli; end behav;。
数电课程设计--数字秒表报告

数字电子课程设计报告题目名称:电子秒表电路姓名:学号:班级:电子班指导老师:2012年6月一、技术要求:要求设计一个数字表,用于短时间测量,适用于计时使用。
(1)计时范围:0~59秒 (2)显示分辨率为1s 。
(3)用按钮开关控制工作状态,即:暂停、清零。
(4)本身带有,工作时指示灯亮。
二、元件清单:三、详细设计:品名 规格型号 技术要求 每组数量 通用板 10×15(cm 2) 10×15(cm 2) 1共阴极数码管 BS201A/0.5英寸 单个 2 集成显示译码器 CD4511 CD4511 2 集成14位计数器 CD4060 CD4060 1 集成双BCD 计数器 CD4518 CD4518 1 集成双D 触发器 74HC74 74HC74 1 集成逻辑与非门 74HC00 74HC001 电阻器 RJ-22M Ω-1/4W 1/4W 1 电阻器 RJ-200k Ω-1/4W 1/4W 1 电阻器 RJ-300Ω-1/4W 1/4W 14 电容器 CC1-30pF (瓷片) 瓷片2 电容器 CC1-0.01μF (瓷片)瓷片1 石英晶体振荡器 32.768kHz 32.768kHz 1 小型按键单开关 8×8mm 8×8mm 1 集成电路插座 16PIN 16PIN 3 集成电路插座 14PIN 14PIN2 集成电路插座 8PIN 8PIN(两个8PIN 作为16PIN)2焊锡(小卷) 小卷导线单芯 单芯(1)秒脉冲的产生图2-1脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器产生标准频率信号经过整形、分频获得1Hz的秒脉冲。
石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。
如晶振为32768 Hz,通过15次二分频后可获得1Hz的脉冲输出,电路图如图2-1所示。
(2)秒计数器的设计图2-2 图2-2为秒计数译码电路,秒计数器为M=60的计数器,即显示00~59,采用中规模集成电路双十进制计数器至少需要2片,因为10 < M < 100。
数字电子秒表设计总结报告1

数字电子秒表设计总结报告一.工作原理本数字电子秒表设计由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成。
如下图所示:启动清零复位电路主要由U6A 、U6B 、U7B 、U7D 组成,其本质是一个RS 触发器和单稳态触发器。
J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。
开始时把J1合上,J2打开,运行本电路,数字秒表正在计数。
当打开J1,合上J2键,J2与地相接得到低电平加到U6B 的输入端,U6B 输出高电平又加到U6A 的输入端,而U6A 的另一端通过电阻R15与电源相接得到高电平,(此时U6B 与U6A 组成RS 触发器),U6A 输出低电加到U7A 的输入端,U7A 被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。
当J1合上时,打开J2键,J1与地相接得到低电平加到U6A 的输入端,U6A 输出高电平加到U6B 的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的QD ---QA输出0000,经U1、U2译码输出驱动U9、U10显示“00”。
因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。
同时U6A输出高电平加到U7A的输入端,将U7A打开,让555的3脚输出100KHZ的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。
当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。
数字秒表实验报告

EDA课程设计题目:基于VHDL的数字秒表设计学生姓名学号学院电子信息学院专业 10通信工程指导教师二零一二年十二月基于VHDL的数字秒表设计摘要当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。
推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。
此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计算机应用能力、VHDL语言的编程能力和Quartus II 的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。
关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1KAbstractThe electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the experiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1\/1000 seconds stopwatch and simulation and the actual download to ALTERA company's ACEX1K series EP1K30TC144-3 implementation.Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K目录摘要----------------------------------------------------------------2 Abstract------------------------------------------------------------2一、设计要求--------------------------------------------------------4二、设计思想与方案论证----------------------------------------------42.1 设计思想----------------------------------------------------42.2 方案论证----------------------------------------------------4三、系统设计--------------------------------------------------------53.1 顶层电路设计------------------------------------------------53.2时钟分频电路模块---------------------------------------------63.3十进制计数控制模块-------------------------------------------73.4六进制计数控制模块-------------------------------------------7四、系统仿真--------------------------------------------------------84.1 模块仿真----------------------------------------------------84.1.1 时钟分频电路模块仿真 ----------------------------------84.1.2 十进制计数控制模块仿真---------------------------------94.1.3 六进制计数控制模块仿真---------------------------------94.2 总体仿真---------------------------------------------------10五、下载实现--------------------------------------------------------105.1 引脚分配---------------------------------------------------115.2 下载验证---------------------------------------------------11六、问题与不足-----------------------------------------------------13七、心得体会-------------------------------------------------------13参考文献-----------------------------------------------------------14附录---------------------------------------------------------------14附录1 :本设计各模块代码-------------------------------------------14一、设计要求设计用于体育比赛用的数字秒表,要求1、计时精度大于1/1000秒,计时器能显示1/1000秒的时间,提供给计时器内部定时的时钟频率为12MHz;计时器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒2、设计有复位和起/停开关(1) 复位开关用来使计时器清零,并做好计时准备。
数字电路课程设计报告数字秒表

数字电路课程设计报告——数字秒表一、设计任务与技术指标:设计数字秒表,以实现暂停、清零、存储等功能。
设计精度为0.01秒。
二、设计使用器件:74LS00 多片74163 4片4511 4片NE555 1片二极管1枚LED 共阴极七段译码器 4 个导线、电阻若干三、数字秒表的构成:利用555 设计一个多谐振荡器,其产生的毫秒脉冲触发74LS163计数,计时部分的计数器由0.01s 位、0.1s 位、s 个位、和s 十位共四个计数器组成,最后通过CD4511 译码在数码管上显示输出。
由“启动和停止电路”控制启动和停止秒表。
由“接地”控制四个计数器的清零。
图1 电子秒表的组成框图四、实现功能及功能特点:(1)、在接通电源后秒表显示00:00,当接通计时开关时秒表开始计时。
(2)、清零可在计时条件下也可在暂停条件下进行。
(3)、解决了在使用163清零端和保持端时由于163默认的清零端优先级高于保持端造成的0.01秒位上无法保持到0.09的技术问题。
(4)、增加了数据溢出功能,由于是4位秒表,最多计到一分钟,当秒表到达一分钟时,秒表自动暂停显示在60:00秒处,此时二极管发亮,起警示灯作用。
清零后则可继续计时。
(5)、由于条件有限,我们自己用导线制作了电源、清零、暂停等开关以减少导线的拔插造成的面板的不美观。
下图为完整课程设计的实物图:五、课程设计原理:本课程设计由模6000计数器和其控制电路组成,模6000计数器功能由同步加法计数器74163和与非门74LS00组成。
74163的功能及用法:74163同步加法计数器具有以下功能:(1)、同步清零功能。
当清零端输入低电平,还必须有时钟脉冲CP的上升沿作用才能使各触发器清零,此过程为同步清零。
(2)、同步并行置数功能。
(3)、同步二进制加计数功能。
(4)、保持功能。
综上所述,74163是具有同步清零、同步置数功能的4位二进制同步计数器。
74163的应用:(1)、构成任意模的计数器将74163与少量门电路结合可构成任意模计数器。
数字电路设计实验vhdl语言实验报告

实验一秒表计数器的设计实验目的:本实验通过设计四种频率可选的数字时钟系统, 以达到熟悉VHDL 语言编程语法、设计思路和熟练掌握Quartus II 开发软件的目的。
二、实验内容:该数字时钟的显示格式如下所示: HH: MM: SS, 其中HH表示时计数的两位, MM表示分计数的两位, SS表示秒计数的两位。
本系统输入信号分别为复位信号rst(高有效)、sel(两位信号, 分别可以选择2分频、4分频8分频和16分频)、clk_in(时钟信号)、8位时输出、8位分输出、8位秒输出(其中高4为表示对应的高半字节、低4位表示的低半字节, 譬如当时间为08:59:30时, 时输出为”0000_1000”,分输出为”0101_1001”,秒输出为”0011_0000”)。
该时钟系统可以通过Sel信号时钟运行的快慢。
三、实验流程:通过对实验内容的分析: 可以考虑时钟系统的可由三部分组成: 1.分频器:分频器为时序电路并且通过《数字电路》理论课程的学习可知由计数器来实现, 同学可以回想一下实验1中是如何实现计数器电路的设计), 该模块主要产生2.4.8、16分频的时钟信号;2.多路选择器:在VHDL中多路选择器为组合逻辑, 可以有多种实现方法, 在这里主要选用了case语句来实现。
该模块的作用是从分频器中根据Sel信号选择适当的时钟信号;3.时钟控制器:该模块比较复杂, 主要实现功能是实现一个24小时的计时。
当时间为00:00:59的时候下一个时钟到来时状态的跳变为00:01:00, 计时中多数计数为加1操作, 有几个特殊状态需要重点考虑:当时间产生分进数时, 譬如上例。
当时间产生时进数时, 譬如00:01:59时刻的下一个状态为00:02:00;当时间产生时进数时, 譬如00:59:59是个的下一个状态为01:00:00。
当时间产生天进数时, 譬如23:59:59的下一个状态为00:00:00。
四、仿真要求:1、本次试验的结果全部采用功能仿真分析:在结果图中能够看到让复位信号rst为有效的情况下, 所有的输出为00:00:00;2.当频率选择输出分别为”00”、”01”、”10”、”11”时秒为的进数分别包含2.4.8、16倍clk_in的时钟周期;3.可以看到完整的计时周期00:00:00->23:59:59->00:00:00。
数字秒表设计报告

吉林建筑工程学院电气与电子信息工程学院微机原理课程设计报告设计题目:数字秒表的设计专业班级:学生姓名:学号:指导教师:设计时间:数字秒表设计报告一、课程设计目的通过该设计,掌握8255并行接口芯片、8253定时计数芯片的使用和数码管的使用,并掌握相应的程序设计和电路设计的技能。
是对8255并行接口芯片章节理论学习的总结和补充,为后续的硬件课程的学习打下基础。
二、课程设计的内容及要求利用8253计数器2和计数器1,实现1Hz信号的产生,然后计数器采用硬件触发选通方式计数,CPU读取计数结果,并转换为读秒计数,并把读秒计数的结果用数码管显示出来(2位)。
三、总体设计方案设计一个利用微机原理与接口技术完成秒表的设计方案, 该方案主要是选择8253A的计数器2与计数器1产生一个1Hz的中断脉冲,其输出端与不可屏蔽中断请求信号端相连接。
利用1.19318MHz脉冲方波输入CLK2,设置CLK2的初值为59659,将CLK2的输出端连接到CLK1,设置CLK1的初值为20,将OUT1连接到8086CPU 的NMI端。
将NMI端有一个低电平信号输入时,8086CPU将产生中断进行秒计数。
8086通过8255A将PA口作为段选信号输出端,将PB口作为片选信号输出端。
图3.1 方案设计框图此方案的核心内容是利用微机原理与接口技术完成秒表的设计方案,该方案主要是选择8253A的计数器2和计数器1进行1s的定时,其输出于OUT1与8086的NMI相连,当定时到1s的时候产生一个中断信号,在中断服务程序进行秒的计数,并送入相应的存储单元;8255的A口接七段数码管的段选信号,B口接七段数码管的位选信号,秒的数值通过对8255的编程可以显示在七段数码管上面。
该方案是利用微机接口技术的典范案例,就可行性而言,也是行之有效的。
四、硬件系统设计8086简介Intel 8086拥有四个16位的通用寄存器,也能够当作八个8位寄存器来存取,以及四个16位索引寄存器(包含了堆栈指标)。
数字秒表电路图

数字秒表电路图
数字秒表电路如图所示。
图中的5G5544(IC1)是一块石英钟集成电路,在电路中作为秒信号发生器使用。
5G5544从③、⑤脚输出周期为2S的脉冲,经由VD3、VD4和VT1组成的非逻辑电平转换电路后,可得到秒信号的输出。
CD4518(IC2)内部封装有两个相同的十进制计数器,所以可形成二位计数,如果需要更多位的计数,可以进行多级级联。
CD4511(IC3、1C4)是BCD-7段译码/驱动集成电路,它将十进制计数器输出的BCD码译成笔画码并驱动LED数码显示器显示所计秒数。
随着秒信号的不断加入,共阴极LED数码显示器会不断显示出计数的秒数。
图:数字秒表电路图S1是清零开关,当按下S1时,CD4518的Cr端便可得到一个正脉冲,使电路清零。
因为5G5544集成电路的工作电压为1.2-2V,所以需经VD1、VD2,降压后向其提供工作电源。
秒表电路的实习报告

《计算机辅助设计实习》课程设计报告题目秒表电路的设计学院班级姓名学号目录一.前言二.内容摘要三.关键字四.技术要求五.方案论证与选择1.1HZ信号脉冲装置NE555定时器2.计数器74LS1603.译码器74LS484.七段数码管(LED)5.2 线-4 线译码器74ls139六.电路图及电路工作原理元件清单七.设计存在的问题及解决八.心得体会前言如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规模地方法仍然需要我们掌握。
二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字电路中最基本的元件。
数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。
除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。
与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。
此次课设更是加深了我们对数字技术的理解和认识。
二.内容摘要本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。
该数字计数系统的逻辑结构较简单,是由控制电路,1秒脉冲发生器,译码显示电路,计数器构成的。
其中控制电路是由计数器、译码器以及电阻,开关组成的电路部分。
多谐振荡器是由555定时器及其外围电路组成的电路部分产生1秒的脉冲。
三. 关键字计数器,译码器,显示器,555定时器构成的多谐振荡器。
四.技术要求要求:1、电子秒表具有1只按钮,当按钮第一次按下后开始计时,第二次按下后停止计时并保留本次计时时间,第三次按下后电子秒表复位,为下一轮计时做准备。
数字电路-电子秒表实验报告

实验二电子秒表一、实验目的1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。
2、掌握电子秒表的调试方法。
二、实验原理图2-1为电子秒表的电原理图。
按功能分成四个单元电路进行分析。
数字电子技术基础课程设计(一)——电子钟数字电子技术基础课程设计电子秒表一.设计目的:1、了解计时器主体电路的组成及工作原理;2、熟悉集成电路及有关电子元器件的使用;3、学习数字电路中基本RS触发器、时钟发生器及计数、译码显示等单元电路的综合应用。
二.设计任务及说明:电子秒表电路是一块独立构成的记时集成电路芯片。
它集成了计数器、、振荡器、译码器和驱动等电路,能够对秒以下时间单位进行精确记时,具有清零、启动计时、暂停计时及继续计时等控制功能。
设计一个可以满足以下要求的简易秒表1.秒表由5位七段LED显示器显示,其中一位显示“min”,四位显示“s”,其中显示分辩率为s,计时范围是0—9分59秒99毫秒;2.具有清零、启动计时、暂停计时及继续计时等控制功能;3.控制开关为两个:启动(继续)/暂停记时开关和复位开关三.总体方案及原理:电子秒表要求能够对时间进行精确记时并显示出来,因此要有时钟发生器,记数及译码显示,控制等模块,系统框图如下:时钟发生器记数器译码器显示器控制器图1.系统框图其中:(1)时钟发生器:利用石英震荡555定时器构成的多谐振荡器做时钟源,产生100HZ的脉冲;(2)记数器:对时钟信号进行记数并进位,毫秒和秒之间10进制,秒和分之间60进制;(3)译码器:对脉冲记数进行译码输出到显示单元中;(4)显示器:采用5片LED显示器把各位的数值显示出来,是秒表最终的输出,有分、秒、和毫秒位;(5)控制器:控制电路是对秒表的工作状态(记时开始/暂停/继续/复位等)进行控制的单元,可由触发器和开关组成。
四.单元电路设计,参数计算和器件选择:1.时钟发生单元时钟发生器可以采用石英晶体震荡产生100HZ时钟信号,也可以用555定时器构成的多谐振荡器,555定时器是一种性能较好的时钟源,切构造简单,采用555定时器构成的多谐振荡器做为电子秒表的输入脉冲源。
数字电路实验四:电子秒表

2、电子秒表的整体测试
各单元电路测试正常后,按总图把几个单 元电路连接起来,进行电子秒表的总体测试。
要求计时至少2分钟,利用参考时间源测试 其误差。
分析电子秒表误差原因。
4、自主练习 74ls90及74ls92各种进制级联。 例:2——10进制级联;
10——2进制级联; 上次布置的思考题
········
经过5分频,产生频率10HZ,周期0.1 S的计数时钟信号
T TW1 TW 2 TW1 0.7R1 R 2 C TW 2 0.7R2C
实验原理
现代电子技术实验
50HZ
5分频
10HZ
五、总电路图
现代电子技术实验
现代电子技术实验
六、实验内容
1、脉冲源的调测
现代电子技术实验
用示波器观察输出电压波形并测量其频率,调节 RW,使输出矩形波频率为50Hz,画出其波形。
产生清零信号单稳态电路来自实验原理 2、停止电路
Q=0
Q=1
现代电子技术实验
时钟信号
C
与
非 门 计数脉冲
若停止键按下, Q将变为0。
实验原理
停止电路
Q=01
Q=01
现代电子技术实验
时钟信号
C
与
非 门 无计数脉冲
停止键按下, Q=C变为0。
实验原理
现代电子技术实验
3、脉冲源电路
产生频率为50HZ,周期0.02 S的信号
2 、按下启动键开始清零计时,按 下停止键,停止。
三、实验框图
电子秒表的组成框图
现代电子技术实验
四、实验原理
Q=1
Q=0
现代电子技术实验
1、启动电路
R-S触发器
电子秒表的设计实验报告

电子秒表的设计实验报告电子秒表的设计实验报告一、引言在现代科技高度发达的社会中,电子秒表作为一种常见的计时工具,被广泛应用于各个领域。
本次实验旨在设计一个简单且实用的电子秒表,通过实际操作和数据分析,探索电子秒表的原理和功能。
二、实验目的1. 了解电子秒表的基本原理和结构;2. 掌握电子秒表的设计方法和实验操作;3. 分析电子秒表的精度和稳定性。
三、实验材料与方法1. 实验材料:电子元件、电路板、电源、计算机等;2. 实验方法:a. 按照电子秒表的设计要求,搭建电路;b. 连接电源,启动电子秒表;c. 进行计时实验,记录数据;d. 分析实验结果。
四、实验步骤1. 设计电路图:根据电子秒表的功能需求,设计电路图,并确保电路的稳定性和可靠性。
2. 搭建电路:根据电路图,将电子元件连接到电路板上,并进行焊接。
3. 连接电源:将电路板与电源连接,确保电子秒表正常工作。
4. 启动电子秒表:按下启动按钮,开始计时。
5. 进行计时实验:使用标准计时器,同时启动电子秒表和标准计时器,进行时间对比。
6. 记录数据:记录电子秒表和标准计时器的计时结果,并计算误差。
7. 分析实验结果:比较电子秒表和标准计时器的计时精度和稳定性,分析实验结果的可靠性。
五、实验结果与分析通过多次实验,记录了电子秒表和标准计时器的计时结果,并计算了误差。
实验结果显示,电子秒表的计时误差较小,精度和稳定性较高,能够满足实际使用的需求。
然而,由于实验条件的限制,电子秒表的计时精度仍有进一步提高的空间。
六、实验总结本次实验成功设计了一个简单实用的电子秒表,并通过实验验证了其计时精度和稳定性。
电子秒表作为一种常见的计时工具,在科学研究、体育竞技等领域具有广泛的应用前景。
然而,电子秒表的设计和制造仍需不断改进,以提高其计时精度和稳定性。
七、改进方向1. 优化电路设计:通过改进电路结构和选用更好的电子元件,提高电子秒表的计时精度和稳定性。
2. 加强测试和校准:定期对电子秒表进行测试和校准,确保其计时结果的准确性。
数字式秒表实验报告

数字式秒表实验报告摘要本次设计任务是设计一个数字式秒表经查阅资料后我把实验分为1.脉冲产生部分。
2.电路控制部分。
3.计数部分4.译码部分。
5显示部分。
脉冲产生部分我选择555多谐振荡器,产生100Hz的脉冲。
经参考资料,电路控制部分:启动和暂停控制开关使用由RS触发器组成的无抖动开关。
使用74ls160计数器计数,7447译码器驱动共阳极七段显示器。
实验要求1.秒表最大计时值为99分59.99秒;2. 6位数码管显示,分辨率为0.01秒;3 .具有清零,启动计时,暂停及继续计数等控制功能;4.控制操作间不超过二个。
实验分析数字式秒表,所以必须有一个数字显示。
按设计要求,须用七段数码管来做显示器。
题目要求最大记数值为99,59,99,那则需要六个数码管。
要求计数分辨率为0.01秒,并且需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
经过查询资料,555多谐振荡器性能稳定,故采用555多谐振荡器。
数字式秒表是一个频率(100HZ)进行计数的计数电路。
由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ的时间信号必须做到准确稳定。
数字电子钟的总体图如图所示。
由图可见,数字电子钟由以下几部分组成:555振荡器秒脉冲发生器,防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等七段显示器译码器译码器译码器1005551. 555构成的多谐振荡器555构成的多谐振荡器电路图555多谐振荡器工作波形多谐振荡器工作波形周期计算2.多谐振荡器仿真图根据设计要求,需要产生一个频率为100HZ的信号,由于f=1/T,带入可以算出R1=R1=4.7KΩ,在仿真软件上仿真的时候可以设置电阻为4.7KΩ,加上一个50Ω的电位器来调节脉冲信号的精确度。
数字秒表设计总结报告

数字秒表课程设计总结报告一、课题名称数字秒表设计二、内容摘要本实验要求设计一个计数范围在0.0—9.9秒的数字秒表。
电路设计基本包括0.1秒脉冲发生器,信号控制端,整形电路,计数电路,译码电路和显示器这几部分构成。
0.1秒脉冲发生器由555定时器构成的多谐振荡电路实现,由3端口接入计数器的时钟端。
信号控制端由RS触发器实现,能够对整个电路进行清零、计数、停止和复位的作用。
整形电路有单稳态触发器构成,对RS触发端输出的信号进行整形,但不改变其逻辑符号。
本实验的技术器由两个十进制BCD码74LS160级联而成。
在计数器的四个输出端分别接译码器的四个置数端,译码器由74LS48实现。
这个电路设有两个开关K1,K2,通过K1,K2的置0和置1来实现对电路的清零、计数、暂停、复位的控制。
这样,一个简易的数字秒表便设计完成了。
三、课题任务,指标,功能要求课题任务:用中小规模集成电路设计一个数字秒表。
指标:计数范围在0.0—9.9秒之间。
功能要求:有清零、计数、停止和复位的功能。
四、单元框图五、单元电路设计,参数计算,元器件选择1、0.1秒脉冲发生器:参数计算:T=0.7(Ra+2Rb)C555定时器构成多谐振荡器,其芯片功能表如下:TH TR非R非OUT DISX X L L 导通>2/3Vcc >1/3Vcc H L 导通<2/3Vcc >1/3Vcc H 原状态原状态<2/3Vcc <1/3Vcc H H 关断注明:6脚为THR,触发器输入端,低电平有效。
2脚为TRI,阀值输入端,高电平有效。
4脚为RST,总复位端,低电平有效。
7脚为DIS,放电端。
5脚为CON,控制端。
1脚接地,8脚接电源。
3脚为输出端。
TD为内部三极管。
其管脚图如下所示:2、信号控制端,RS触发器,实现对这个电路的清零、计数、停止、复位功能。
RS触发器,其功能表如下:Rd非Sd非Q n+1Q n+1非1 1 Q n Q n非0 1 0 11 0 1 00 0 1* 1*注:RS触发器可由导线与74LS00二输入与非门构成Rd非和Sd非都为1时,基本RS触发器实现信号保持功能,即Q n+1=Q n,Q n+1非=Q n非;当Rd非=0时,基本RS触发器直接置零;当Sd非=0时,基本RS触发器置1.3、计数器用74LS160实现:输入输出CP Rd非LD非EP ET A B C D QA QB QC QD ×L ×××××××L L L L ↗H L ×× A B C D A B C D ×H H L ×××××保持×H H ×L ××××保持↗H H H H ××××计数↗H L ××L L L L L L L L 注:当Rd非=0时,计数器清零;当Rd非=1,LD非=0时,计数器预置数;当前两者都为1,EP或ET为0时,计数器有保持功能;当四者全为1时,计数器进行计数功能。
数字秒表电路报告

-课程名称:数字电子技术课程设计题目:电子秒表电路学生姓名:专业:班级:学号:指导教师:日期:年月日电子秒表电路一、设计任务与要求要求设计一个数字秒表,用于短时间测量,适用于田径比赛等竞技场合计时使用。
(1)计时范围:0~10分钟(2)显示分辨率为1s/10。
(3)用一只按钮开关控制三种工作状态,即:清零计时停止二、方案设计与论证实验要求设计一个用于短时间测量的电子秒表,根据学过的相关知识可以知道和题目的要求,电路应该分为分为3个部分,分别是计数脉冲产生电路、计数电路、和状态控制电路。
电路的框图描述如下图:由上面的电路模块图,我们讨论得到下面两个方案方案一、用一个555定时器做出多谐振荡电路为计数电路提供计数脉冲,通过调节外围电阻R1、R2和电容C的值使振荡电路产生10Hz的计数脉冲(即周期为0.1秒的信号)。
用74LS160计数器做成3级计数电路,分别是十进制的0.1秒计数电路、60进制的秒计数电路和十进制的分计数电路。
用74LS160做成3进制计数电路并配合74139二线四线译码器做成状态控制电路,使计数电路在清零、计数、停止3个状态之间转换。
方案二、用石英晶体构成石英晶振脉冲发生器。
计数电路是74LS160串接构成的600进制计数器最多可以计数到600秒(10分钟)这样控制起来比较方便、控制电路同方案一。
最终方案:方案一。
由于对方案二的石英晶振电路原来不是很熟悉,并且方案二的计数显示不符合人的一般思维方式,因此选用方案一作为最终方案。
三、单元电路设计与参数计算根据上面的讨论,方案包含3大单元:计数电路、状态控制电路、计数脉冲产生电路。
下面分别对个单元进行设计和参数的计算。
(1) 计数脉冲产生电路由于555定时器在数字电子和模拟电子中都要重要的应用,并且使用起来比较简单,只需接少量的电阻电容等外围元件,就可以构成施密特触发电路、单稳态电路和多谐振荡电路,所以本方案最终选用了555定时器来做计数脉冲产生电路。
数字秒表报告

题目: 数字秒表的设计目录摘要 (1)1 设计内容及要求 (2)1.1 计目的及主要任务 (2)1.1.1 设计目的 (2)1.1.2 设计任务及主要技术指标 (2)1.2设计思想 (2)2 设计方案 (3)2.1两种设计方案 (2)2.2 方案选择 (2)3 设计原理及单元模块设计 (4)3.1 设计原理及方法 (4)3.2 单元模块设计 (4)3.2.1 输入模块的设计 (4)3.2.2 单片机控制模块的设计 (5)3.2.3 输出模块的设计 (5)4 电路的仿真与分析 (6)5 硬件调试 (7)6 心得体会 (8)参考文献 (9)附录一:C语言数字秒表程序 (10)附录二:元件清单 (14)附录三:总电路图 (15)摘要数字电子技术应该是一门理论和实践相结合的课程,在这次课程设计中,我选择了《数字秒表》这样一个题目,希望在自己尝试亲自设计电路的过程中,更加深刻的理解数电中各种集成电路的应用。
这个电路的核心是STC89C52单片机。
硬件组成:输入设备为按键(键盘),输出为四位共阳数码管,其中STC89C52单片机芯片用于处理输入的数字信号并输出数字信号。
软件部分是由C语言设计的控制程序。
Keil软件将设计好的C语言程序转换成hex文件,并通过ISP 通信接口下载端下载到单片机的EEPROM中,用来控制单片机的输出电平,进而控制数码管上显示的数字,从而实现数字秒表的功能。
关键词:STC89C52 单片机输入设备输出设备C语言程序数字秒表1 设计内容及要求1.1 设计的目的及主要任务1.1.1设计目的(1)学会用C语言设计方法设计具有一定功能的模块,并能运用图形设计方法完成顶层原理图的设计,并用Protues软件实现仿真。
(2)掌握数字秒表的主要功能及如何用单片机来实现。
1.1.2设计任务及主要技术指标(1)设计一个能测量8名运动员短跑成绩的数字秒表,有10个按键,其中一个为启动开关,一个为清零开关,其它八个作为记录开关,一个四位数码管输出,显示记录时间。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程名称:数字电子技术课程设计题目:电子秒表电路学生姓名:专业:班级:学号:指导教师:日期:年月日电子秒表电路一、设计任务与要求要求设计一个数字秒表,用于短时间测量,适用于田径比赛等竞技场合计时使用。
(1)计时范围:0~10分钟(2)显示分辨率为1s/10。
(3)用一只按钮开关控制三种工作状态,即:清零计时停止二、方案设计与论证实验要求设计一个用于短时间测量的电子秒表,根据学过的相关知识可以知道和题目的要求,电路应该分为分为3个部分,分别是计数脉冲产生电路、计数电路、和状态控制电路。
电路的框图描述如下图:由上面的电路模块图,我们讨论得到下面两个方案方案一、用一个555定时器做出多谐振荡电路为计数电路提供计数脉冲,通过调节外围电阻R1、R2和电容C的值使振荡电路产生10Hz的计数脉冲(即周期为0.1秒的信号)。
用74LS160计数器做成3级计数电路,分别是十进制的0.1秒计数电路、60进制的秒计数电路和十进制的分计数电路。
用74LS160做成3进制计数电路并配合74139二线四线译码器做成状态控制电路,使计数电路在清零、计数、停止3个状态之间转换。
方案二、用石英晶体构成石英晶振脉冲发生器。
计数电路是74LS160串接构成的600进制计数器最多可以计数到600秒(10分钟)这样控制起来比较方便、控制电路同方案一。
最终方案:方案一。
由于对方案二的石英晶振电路原来不是很熟悉,并且方案二的计数显示不符合人的一般思维方式,因此选用方案一作为最终方案。
三、单元电路设计与参数计算根据上面的讨论,方案包含3大单元:计数电路、状态控制电路、计数脉冲产生电路。
下面分别对个单元进行设计和参数的计算。
(1) 计数脉冲产生电路由于555定时器在数字电子和模拟电子中都要重要的应用,并且使用起来比较简单,只需接少量的电阻电容等外围元件,就可以构成施密特触发电路、单稳态电路和多谐振荡电路,所以本方案最终选用了555定时器来做计数脉冲产生电路。
555定时器的功能表:通过对上面功能表的分析,可以用以下的典型多谐振荡电路。
电容C充电时间t1=(R1+R2)Cln(Vcc-Vt-)/(Vcc-Vt+)=(R1+R2)Cln2 <1>放电时间t2t2=R2Cln(0-Vt+)/(0-Vt-)=R2Cln2 <2>电路的振荡周期T=t1+t2=(R1+2R2)Cln2 <3>由于设计要求秒表的分辨率为0.1秒,所以这里要设置振荡周期T=0.1S由<3>式并假定C=3uF,则:R1+2R2=T/Cln2=0.1/(3×10-6×ln2)≈48090Ω最终取R1=8090Ω,R2=20KΩ,C=3uF(2)74LS160和139二线四线译码器构成的状态控制电路74LS160功能表CLK RD' LD' EP ET 工作模式×0 ×××置0↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持(c=0) ↑ 1 1 1 1 计数74HC139的真值表输入输出G' A1AY'3Y'2Y'1Y'1 ×× 1 1 1 10 0 0 1 1 1 00 0 1 1 1 0 10 1 0 1 0 1 10 1 1 0 1 1 1由上面的功能表为依据可以设计下面的控制电路:由于电子秒表有清零、计数、停止三个状态。
本方案利用74LS160构成一个三进制计算电路,由于74160采用异步置零方式,所以用计数器的第四个状态,即0011通过一个与非门译出一个地电位作为控制器的清零信号,使控制器循环于0000、0001和0010之间,对应于秒表的3个控制状态。
而控制计数电路的计数脉冲是通过一个常开开关接VCC构成,每按一下开关,计数器便接收到一个下降沿,从而跳到另一个状态。
(3)74160构成的计数电路由于74LS160是十进制计数器,采用异步置零方式。
按设计要求,0.1秒计数和分钟计数部分都是十进制,所以0.1秒计数部分和分钟计数部分只需用控制电路的清零信号来清零即可,每当0.1秒计时电路和分钟计数电路到达10,或者接收到控制电路的清零信号就清零。
而秒计数部分需要本身的60进制清零信号和控制电路的清零信号来置零,所以U3和U4用或非门来接收这两个清零信号,只要秒计数到达60或者控制电路发送了清零信号,秒计时电路都会清零。
秒计数电路的60进制清零信号是当十位为6时发出的。
同时对于所有的74LS160计数器的ET端(图中为ENT端),由控制电路的74139译码器输出来控制,ET为0时保持,ET为1时计数,计数电路在计数状态和保持状态之间变换。
计数电路下面是各部分的大图0.1秒计数电路分计数电路秒计数电路四、总电路工作原理及元器件清单1.电子秒表总体电路2、电路完整工作过程描述(总体工作原理)整个电路通电后,由于控制电路的计数器初始状态是从0000然后是0001再到0010,经过二线四线译码器,译码后译码器的输出端Y3Y2Y1Y对应的状态分别是HHHL、HHLH、HLHH(H代表高电位,L代表地电位)。
因为所有的计数器ET端都接到了74HC139的Y1端,Y3接到0.1秒计数电路和分钟计数电路的清零端,同时Y3也通过反相器接到秒钟计数电路清零端的或非门。
电路通电后控制电路的计数器还没开始工作,输出状态为0000,译码器Y 0低电位,Y3、Y2、Y1都是高电位,所有计数电路的所有计数器ET端都为1,同时计数电路的所有计数器清零端都是高电位,秒表电路进入计时状态。
0.1秒计数电路逢10向秒钟计数电路进1,而秒钟计数电路逢60向分钟计数电路进1。
当按一下开关J1时,控制电路接收到一个下降沿脉冲,控制电路输出状态变为0001,译码器的输出端Y3Y2Y1Y电位为HHLH,计数电路所有计数器的ET端都为0,同时所有计数器清零端为1,计数电路处于保持状态。
再按一下J1开关,控制电路接收到一个下降沿脉冲,控制电路输出状态变为0010,译码器的输出端Y3Y2Y1Y电位为HLHH,计数电路所有计数器清零端都为0,完成清零动作。
再按开关,则控制电路在0000、0001和0010之间循环,计数电路则在清零、计数和保持之间循环。
2.元件清单元件名称型号主要参数数量备注十进制计数器74LS160 异步清零 5二线四线译码器74CH139 1555定时器 1或非门74LS02 1非门74LS04 1与门74LS08 1与非门74LS00 1电阻 3电容 2五、仿真调试与分析整个实验设计和仿真都是用Multism11.0 来做的。
对一个比较大的电路经行设计和调试电路都是要分模块经行。
首先,把计数电路调试好,0.1秒计数电路、秒计数电路和分钟计数电路分别是10进制、60进制和10进制计数电路。
开始调试的时候发现秒计数电路到了49就清零了,后来发现开始设计的时候是用了十位的5来译出清零信号,所以当十位进到5,即到49时就会清零,最后改为了用十位的6来译出清零信号。
比较难的一部分是秒计数部分。
因为秒计数部分由两个74LS160构成,置零要考虑本身的60进制置零和控制电路的清零信号通过多次的仿真和认真的考虑,最终确定了在秒计数电路的清零端接一个二输入或非门,输入端分别连到控制电路送来的清零信号和本身通过与门译出60进制清零端。
还要注意74160是异步置零方式,60进制清零时应该用十位的6,即6对应的二进制0110通过与门译出高电位作为置零信号,而不是用59来译码。
控制电路部分的调试。
首先要做一个3进制的计数器分别控制电子秒表的3个状态。
开始的时候本来想直接用逻辑门对这3个状态译出相应的控制信号控制计数电路,后来想到用译码器更简单,所以就改用译码器了。
因为控制电路计数器只有3个状态,就只需将它的低二位送到译码器的A、B输入段就可以。
再用译码器的输出端Y2、Y1、Y分别控制计数电路即可。
脉冲产生电路调试。
开始的时候按照《数字电子技术基本教程》的例题计算出产生10HZ脉冲的电路,但是用multisim11.0把电路画出来之后发现不能驱动计数电路,也就是计数电路不能计数。
后来在网上查阅先关资料,才知道是multisim11.0的限制,不能用555定时器产生低频方波脉冲。
所以实验仿真时用的参数的1Kz的参数,报告中给出了10Hz脉冲产生的计算公式和R1、R2和C 的参数出理论值。
六、结论与心得对于复杂的电路设计,要先将电路划分模块,然后思考各个模块要实现的功能,再根据所学的知识设计各模块,设计好各个模块之后就要把各模块连接起来,这时候就要注意模块之间的联系了,使各个模块能很好的配合工作。
要做好数电的设计必须要能熟练运用组合逻辑电路和时序逻辑电路的知识,尤其是计数器的应用很重要!计数和电路的状态转换都可以通过计数器来实现。
还有就是电路设计一定要用软件来仿真,观察结果是否和预期结果符合,以便对电路进行改正后优化。