60进制计数器设计..
EDA技术
![EDA技术](https://img.taocdn.com/s3/m/9c90d747be1e650e52ea999f.png)
徐州工业职业技术学院班级:电子与电气071姓名:徐勤学号:730516137指导老师:张江伟内容摘要:EDA 技术是依赖功能强大的计算机,在EDA 工具软件平台上来实现既定的电子线路系统功能。
就因为EDA 有如此大的功能,因此选择EDA 来实现数字钟的设计。
数字钟是一种用数字显示秒、分、时的计时装置,与传统机械钟相比,它具有走时准确、显示直观、无机械转动装置等优点,因而得到广泛应用。
本课题是利用EDA 技术用集成电路设计一个数字钟,即显示秒、分、时,分别用60、60、24进制计数器,秒、分均为六十进制,即显示00~59,它们的个位是十进制,十位是六进制。
时为二十四进制,显示00~23,个位仍为十进制,而十位为二进制,但当十位计到2、个位计到4时清零,就为二十四进制了,其中组成秒、分、时的芯片均用7490来实行,先用它实现十进制,然后分别用两个十进制模板来实现六十进制、二十四进制,最终实现数字钟。
但这只是用4位二进制数来表示1位十进制数,因此要将这些二进制码,通过译码器7448来实现十进制文字符号的转换,将数字钟的计时状态直观清晰的反应出来,然后通过EDA 的坡形仿真,来检验其真确性。
这一设计原本原理图复杂,所用连线众多,但因EDA 具有把原理图模板化的功能,因此,最终生成的数字钟原理图简单、清晰、明了。
(参考文献《EDA 技术及其应用》、《电子技术技能实验实训指导》)一.设计要求:设计一个综合性的数字计时钟,要求能实现时、分、秒的计数功能,,同时将结果通过4个7段数码管显示,具体框图如下:根据设计要求,综合数字钟电路可分为计秒电路、计分电路、计时电路3个子模块,这3个子模块必须都具有预置、计数、进位功能,设计思想如下:A.计秒电路:以直接输入或由分频器产生的秒脉冲作为计秒电路的计数时钟信号,待计数至60瞬间,进位,计分电路加1,而计秒电路则清零并重新计秒。
B.计分电路:计秒电路得到进位脉冲,来一个脉冲就加1,当得到60个脉冲,即计数至60瞬间,进位,计时电路加1,而计秒、计分电路则清零,并重新计秒、分。
数电-课程设计-60进制计数器
![数电-课程设计-60进制计数器](https://img.taocdn.com/s3/m/b65fc93c8f9951e79b89680203d8ce2f00666526.png)
表1 十进制计数器功能表CP RD` LD` EP ET 工作状态×0 ××置零↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持↑ 1 1 1 1 计数连接方式如图:图2 十进制计数器(个位)2、十进制计数器(十位)电路图3 十进制计数器(十位)3、时钟脉冲电路图4 时钟脉冲电路4、置数电路图5 置数电路5、进位电路图6 进位电路6、译码显示电路图7 译码显示电路三、绘制原理图1、完整原理图图7 计数器原理图2、选定仪器列表仪器名称型号数量用途同步十进制计数器74LS160 2片极联构成60进制计数器与门与非门非门74LS21D74LS00D74LS04D各1个辅助设计构成其他计数器共阴极显示器DCD-HEX 2只显示数字计数电压源1个提供脉冲电压表二原理图仪器列表四、测试方案测试步骤:1)进入Multisim7界面图8 软件页面2)右击空白处,选择放置元件,进入元器件选择区,选择要放置的元件,然后单击好。
图9 放置元件3)放置好各种器件之后,即可进行线路连接,同时标明所需参数值。
设置元器件的参数时,用鼠标双击,弹出属性对话框,分别给元件赋值,并设置名称标号。
图10 元器件属性图4)确认电路无误后,即可单击仿真按钮,实现对电路的仿真工作。
5)观察结果看是否与理论分析的预测结果相同。
五、测试验证结果与分析1、验证结果以下两个仿真结果分别是计数器计数的仿真起点00和仿真终点59,之后计数器会自动恢复原来的00起点继续进行循环计数,并且进位输出灯会在59时发光。
图11 60进制计数器起点00 图12 60进制计数器终点592、理论分析本计数器由两个10进制计数器构成60进制计数器的接线图,右边的10进制计数器作为个位,左边的10进制计数器作为十位。
输入端全部接地,计数开始循环一周后通过置位法自动进行归00,之后再继续循环计数。
60进制计数器
![60进制计数器](https://img.taocdn.com/s3/m/c5049c1ab84ae45c3b358c8d.png)
题目60计数器60进制计数器主要内容:利用QuartusII设计一个六十进制计数器。
该电路是采用整体置数法接成的六十进制计数器。
首先需要两片74160接成一百进制的计数器,然后将电路的60状态译码产生LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)到达时,从而得到六十进制计数器。
主要要求如下:(1)每隔1个周期脉冲,计数器增1;(2)当计数器递增到60时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数;(3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。
1方案选择与电路原理图的设计使用具有一定频率的时钟信号作为计数器的时钟脉冲作为同步控制信号,整体电路通过两片74160与其他门电路辅助等单元电路构成以实现置数进位功能。
图2.1为六十进制计数器的总体电路原理框图。
图1.1 电路原理框图1.1单元电路一:十进制计数器电路(个位)本电路采用74160作为十进制计数器,它是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。
每输入10个计数脉冲,计数器便工作一个循环,并且在进位端RCO产生一个进位输出信号。
其功能表如表2-1所示,连接方式如图2.2所示。
此片工作时进位端RCO在没有进位时RCO=0,因此第二片ENP·ENT=0,第二片不工作。
表2-1 同步十进制计数器功能表在新建好的block文件的图形编辑窗口中双击鼠标,或点击图中“符号工具”按钮,或者选择菜单Edit下的Insert Symbol命令,即可对元件进行选择。
选择元件库中的ot hers—maxplus2—74160。
点击工具栏中Orthogonal Node Tool按钮便可以对端子间进行连线,其中值得注意的是,点击工具栏中Orthogonal Bus Tool按钮可以通过总线进行连接。
1.2 单元电路二:十进制计数器(十位)本电路同样采用74160作为十进制计数器,如图2.3所示。
60进制计数器课程设计
![60进制计数器课程设计](https://img.taocdn.com/s3/m/7550dfc550e79b89680203d8ce2f0066f53364d3.png)
60进制计数器课程设计一、课程目标知识目标:1. 学生能够理解60进制计数器的概念,掌握其与十进制的转换方法。
2. 学生能够运用60进制计数器进行简单的加、减运算。
3. 学生了解60进制在实际生活中的应用,如时间、角度等。
技能目标:1. 学生能够独立完成60进制与十进制的转换。
2. 学生能够运用所学知识解决实际问题,如将时间、角度等转换为60进制表示。
3. 学生通过小组合作,培养团队协作能力和沟通能力。
情感态度价值观目标:1. 学生对60进制计数器产生兴趣,培养对数学的热爱。
2. 学生在探究过程中,养成独立思考、勇于尝试的良好习惯。
3. 学生通过学习,认识到数学与生活的紧密联系,增强学以致用的意识。
课程性质:本课程为数学学科的一节实践探究课,旨在帮助学生掌握60进制计数器的相关知识,提高学生的实际操作能力和解决问题的能力。
学生特点:四年级学生具有一定的数学基础,对新鲜事物充满好奇,喜欢动手操作,但注意力容易分散。
教学要求:教师需结合学生的特点,设计生动有趣的教学活动,引导学生积极参与,鼓励学生自主探究和合作交流,确保每位学生都能在课堂上有所收获。
同时,注重培养学生的情感态度价值观,使学生在学习过程中形成正确的价值观和积极的学习态度。
通过分解课程目标为具体的学习成果,为后续的教学设计和评估提供依据。
二、教学内容本节课依据课程目标,结合教材第四章《有趣的计数器》相关内容,组织以下教学大纲:1. 引言:介绍60进制计数器的基本概念,引导学生思考其在生活中的应用,如时间、角度等。
2. 知识讲解:a. 讲解60进制计数器与十进制的区别与联系。
b. 详细介绍60进制与十进制的转换方法。
c. 通过实例,展示60进制在时间、角度等方面的应用。
3. 实践操作:a. 学生独立完成60进制与十进制的转换练习。
b. 学生分组讨论,解决实际问题,如将时间、角度等转换为60进制表示。
4. 拓展延伸:a. 探讨60进制在生活中的其他应用,激发学生思考。
电子技术基础实验课程设计-用74LS161设计六十进制计数器
![电子技术基础实验课程设计-用74LS161设计六十进制计数器](https://img.taocdn.com/s3/m/e1a760576edb6f1aff001fdf.png)
电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自1418用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
数字钟原理框图
![数字钟原理框图](https://img.taocdn.com/s3/m/964d39146edb6f1aff001f80.png)
数字钟原理框图
数字钟系统构成
1、数字钟的构成:振荡器、分频器、计数器、译码器、显示器等几部分
2、数字钟的时、分、秒实际上就是由一个24进制计数器(00-23),两个60进制计数器(00-59)级联构成。
设计数字钟实际上就是计数器的级联。
3、60进制计数器的设计
4、24进制计数器的设计
5、计数器的级联设计
(二)、数字钟设计要点:EWB软件本身提供任意频率的时钟,因此振荡器、分频器不需设计;
另外EWB软件也带有内置译码驱动的数码管,故此译码器和显示器也不需设计。
这样,数字钟的设计实际上就是设计如下图的计数器
EWB软件本身提供任意频率的时钟,因此振荡器、分频器不需设计;
另外EWB软件也带有内置译码驱动的数码管,故此译码器和显示器也不需设计。
这样,数字钟的设计实际上就是设计如下图的计数器.
(三)、芯片选型由于24进制、60进制计数器均由集成计数器级联构成,且都包含有基本的十进制计数器,从设计简便考虑,芯片选择同步十进制计数器74LS160。
(四)、计数器电路
计数器级联时的时钟构成方式可以采用同步时钟,也可以采用异步时钟,这里给出的参考图采用了异步时钟,详图见后页。
数电课程设计题目-汇总
![数电课程设计题目-汇总](https://img.taocdn.com/s3/m/ec602d1b302b3169a45177232f60ddccda38e6ab.png)
1、课题一:电子钟设计设计指标与要求1)设计并制作符合要求的电子钟2)电子钟由 6 位七段 LED 显示器显示,其中两位显示“时”,二位显示“分”,两位显示“秒”;3)计时最大值为 23 时 59 分 59 秒;4)计时误差不得超过1s;5)具有清零、校验时、分等控制功能;6)安装自己设计的电路或仿真电路;7)写出设计报告。
2、课题二:四路数字抢答器设计设计指标与要求1)设计制作一个容纳 4 组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。
2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。
3)设计定时电路,声、光报警或音乐片驱动电路。
4)设计控制逻辑电路,启动、复位电路。
5)设计计分电路,犯规电路。
6)安装自己设计的电路和仿真。
7)写出设计报告。
3、课题三:交通灯控制器设计、制作设计指标与要求1)每个方向有两对灯,分别为红、绿。
2)每个方向的绿灯、红灯的定时时间可以预设,一个方向绿灯亮时另一个方向红灯亮。
定时时间用数码管显示,红绿灯指示用发光二极管。
3)绿灯、红灯顺序点亮,循环往复。
4)控制器要自带时钟,为了时钟精度和得到占空比为50%的标准1HZ 时钟,最后的时钟通过分频得到。
时钟脉冲源可以利用555 电路或晶体振荡器产生。
5)计数器使用CD4516,74161,74390。
如使用晶体振荡器需用到CD4060 芯片。
6)写设计报告4 、课题四:逐音彩灯控制器设计设计指标与要求1)设计并制作一逐音彩灯控制器,使彩灯的闪烁可随着音乐节奏变化,从而产生灯光追逐音乐、活跃气氛的效果;2)被控彩灯为八路,每路以 8 个发光二极管为负载;3)设置外部操作开关,控制彩灯亮点的右移、左移、全亮和全灭;4)彩灯亮点移动规律是二亮二灭右移或左移;5)彩灯亮点移动时间间隔为 1 秒;6)安装自己设计的电路和对设计电路进行仿真;/5、课题五:数字电压表设计设计指标与要求11)设计制作一个3 2 位的数字电压表。
电子秒表74160
![电子秒表74160](https://img.taocdn.com/s3/m/f383ddb1f524ccbff12184b6.png)
电子秒表一、设计任务与要求1、设计部分由0.1s位、s个位、s十位和min个位四个计数器组成。
技术范围:0-10min,精度为0.1s。
2、秒表具有清零、计时、暂停三种工作状态。
用两个按键控制,按键1第一次按下时计时开始,第二次按下时清零;按键二第一次按下时暂停,第二次按下时继续计时。
3、脉冲源可通过555多谐振荡器提供。
4、每隔30s蜂鸣器响一声(每次响1s)。
二、总体框图1、结构框图总体框图,如图2-1。
图2-1 总体框图2、设计方案(1)十进制、六十进制电路方案一:可用74LS160、161、162、163实现计数功能,只能实现加法;方案二:用74LS190、191、192、193实现计数功能,可以加可以减,可以 进位、可以借位。
电子秒表只需要实现加法,用不到减法和借位,所以此处选择方案一中的74LS160计数器。
(2)暂停功能电路方案一:用SR 触发器,SR 触发器属低电平直接触发的触发器,有直接置位,复位的功能。
可使其在停止后能够依然保留数字而不马上归零;方案二:用D 触发器,D 触发器具有保持功能,不会因为前后的变化而改变,因此可以通过它实现“单开关保持清零功能”。
SR 触发器自己比较熟悉,所以选择方案一用SR 触发器实现暂停功能。
(3)脉冲源通过555定时器改装的多谐振荡器发出的脉冲频率要更准确,所以用555多谐振荡器设计一个10HZ 电路,即精度为0.1s 。
(4)蜂鸣器部分 通过组合逻辑电路实现。
三、选择器件1、数码管数码管是一种由发光二极管组成的断码型显示器件,如图3-1。
图3-1 数码管管脚图数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出不同的字形。
数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个LED 的阴极连在一起,让其接地,这样给任何一个LED 的另一端高电平,它便能点亮。
而共阳极就是将八个LED 的阳极连在一起。
2、555定时器555 定时器是一种模拟和数字功能相结合的中规模集成器件。
基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告
![基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告](https://img.taocdn.com/s3/m/6edfa201b6360b4c2e3f5727a5e9856a56122619.png)
基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告VHDL实验报告班级:电子学号:姓名:2014/5/23Experiment 1 两位二进制数的大小比较器一、实验目的:(1)熟悉QuartusII的开发环境、熟练掌握编程开发流程。
(2)学习VHDL的基本语法及编程设计。
二、实验内容:数值比较器设计三、实验要求:(1)熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程;2)设计输入使用插入语言模板(Insert Template); ((3)在QuartusII开发环境下对设计程序进行时序仿真,将生成的配置文件下载到实验板,进行最终的实物测试验证。
四、实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为: 比较器特性表A B In_s In_l In_e 比较器电路示意图YsYe YlY A > B × × × 0 0 1A[3:0] Yl Number B[3:0] A < B × × × 1 0 0 Ye A = B 0 1 0 0 0 1 In_sYs Comparer In_l A = B 1 0 0 1 0 0 In_e A = B 0 0 1 0 1 0 A = B 0 0 0 × × × A = B × 1 1 × × × A = B 1 × 1 × × × A = B 1 1 × × × ×五、程序编写、调试及仿真(芯片型号:MAX?系列EPM1270T144C5) (1)程序编写:library ieee;use ieee.std_logic_1164.all;2entity Vhdl1 isport(a,b:in std_logic_vector(3 downto 0);ins,inl,ine: in std_logic;ys,ye,yl: out std_logic);end Vhdl1;architecture one of Vhdl1 issignal temps,tempe:std_logic; beginys<=temps;ye<=tempe;yl<=temps nor tempe;process(a,b,ine)beginif (a=b and ine='1')thentempe<='1';elsetempe<='0';end if;end process;process(a,b,ins)beginif(a<b) thentemps<='1';elsif(a=b and ins='1') then temps<='1';elsetemps<='0';end if;end process;end one;(2)功能仿真:3(3)芯片引脚设定:(4)适配下载结果六、结果分析本实验实现了两位二进制数的比较。
心得体会 60进制计数器课程设计心得体会
![心得体会 60进制计数器课程设计心得体会](https://img.taocdn.com/s3/m/5d505eb319e8b8f67d1cb92a.png)
60进制计数器课程设计心得体会60进制计数器课程设计心得体会60进制计数器课程设计姓名:∧∧∧∧学号:\\\\\\\\\\\\\\班级:应电1001班实训地点:南实训楼2418指导教师:杨旭、张楠时间:xx、5、28-xx、6、1目录1、计数器的概述...........................................................32、六十进制计数器............................................................42.1设计要求..........................................42.2设计方案框架图...........................................43、六十进制计数器设计描述...........................................53.1设计的思路...........................................53.2设计的实现. (7)4、六十进制计数器的仿真设计与仿真的结果······················104.1基本电路分析仿真设计··········································114.2计数器电路的仿真的结果·····································125、心得体会·········································136、参考文献 (13)1、计数器概述计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。
数电课程设计(60进制计数器设计)
![数电课程设计(60进制计数器设计)](https://img.taocdn.com/s3/m/a833318c6529647d2728523a.png)
目录摘要: (2)1设计题目 (2)1.1设计要求 (2)2题目分析 (2)3设计思路与原理 (3)3.1 LED简介 (3)3.2 芯片74290及六十进制计数器的设计 (4)3.3 三十九进制计数器 (6)4电路图的仿真 (7)4.1六十进制计数器的仿真 (7)4.2三十九进制计数器的仿真 (8)5仪器列表 (9)6心得体会 (9)7参考文献 (10)摘要:要获得N进制计数器,常用的方法有两种:一是用时钟触发器和门电路来设计:二是用集成计数器来构成。
当要得到一些进制数大的计数器时,用时钟触发器和门电路来实现就显的很复杂。
我们就可以用集成计数器来构成,当然集成计数器是厂家已定型的产品,其函数关系已被固化在芯片中,状态分配以及编码我们自己是不可以更改的,而且多为纯自然态序编码,因而利用清零端或置数控制端,让电路跳过某些状态而获得N进制的计数器。
1设计题目60进制计数器的设计1.1设计要求(1)要求学生掌握74系列的芯片和LED的原理和使用方法。
(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。
1.2设计任务(1)完成一个60进制的计数器。
(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。
59显示后,又从00重新开始计数。
2题目分析要实现60进制的计数器,单用一片计数器无法实现,我们可以利用级联方式获得大容量的N进制计数器,60进制的计数器就可以由六进制和十进制计数器级联起来构成。
CP 3设计思路与原理 3.1 LED 简介LED 是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。
七段发光管分别称为a 、b 、c 、d 、e 、f ,g ,构成字型“8”,如图(a )所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。
不加电压则变暗,为了保护各段LED 不被损坏,需外加限流电阻。
信号源 计数器数码显示器十进制计数器(个位)六进制计数器(十位)其真值表如下。
60进制计数器课程设计
![60进制计数器课程设计](https://img.taocdn.com/s3/m/4cfe5a20910ef12d2bf9e75e.png)
60进制计数器设计 (2)绪论 (3)1.1设计背景 (3)1.2设计思想 (3)2器件介绍 (4)2.1电阻 (4)2.2电容 (5)2.3 555秒发生器 (5)2.4 74ls00 (7)2.574ls90 (7)2.674ls48 (8)3软件仿真 (9)3.1 555仿真图 (9)3.2 60进制仿真图 (10)3.3 仿真图 (10)4焊接方法 (12)4.1焊接方法 (12)4.2 注意事项 (13)4.3调试 (13)4.4实际图 (14)5总结 (18)6致谢 (20)7 参考文件 (21)60进制计数器设计摘要:60进制计数器的设计是以数电和模电为基础,结合模电里面的置零方法,利用了555芯片、74ls00、74ls48、74ls90以及显示管和各种电阻电容组成的。
利用74ls90可以实现制数功能,可以单独制成十进制。
利用74ls00(与非门)与74ls90可以制成6进制,再利用74ls48和显示管就可以在基于EWB的软件平台上完成该设计。
本设计采用较为常用的74系列芯片,及555芯片实现了信号灯与信号脉冲同步实现、同步控制,进而提高了整个系统的稳定性、独立性。
在实际生活中我们用60进制的有钟表的秒分进制。
随着我国科学技术与高科技的发展,对于仪器精度的要求更加的高,为了满足中国高科技的发展需求研究高精度计数器对于我国的航天、电子等业务具有很大的作用.关键字:60进制 555芯片 74ls00 74ls48 74ls90绪论1.1设计背景计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《60进制计加法数器的设计》
设计报告
姓名:
学号:
班级:应用电子1001
系别:电子工程系
指导教师:
时间:2012-5-28—2012-6-1
目录
1.概述 (2)
1.1计数器设计目的 (3)
1.2计数器设计组成 (3)
2.六十进制计数器设计描述 (4)
2.1设计的思路 (6)
2.2设计的实现 (6)
3. 六十进制计数器的设计与仿真 (7)
3.1基本电路分析设计 (7)
3.2 计数器电路的仿真 (10)
4.总结 (13)
4.1遇到的问题及解决方法 (13)
4.2实验的体会与收获 (14)
1概述
计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。
根据计数器的增减趋势,又分为加法、减法和可逆计数器。
还有可预制数和可变程序功能计数器等等。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
1.1计数器设计目的
1)每隔1s,计数器增1;能以数字形式显示时间。
2)熟练掌握计数器的各个部分的结构。
3)计数器间的级联。
4)不同芯片也可实现六十进制。
1.2计数器设计组成
1)用两个74ls192芯片和一个与非门实现。
2)当定时器递增到59时,定时器会自动返回到00显示,然
后继续计时。
3)本设计主要设备是两个74LS160同步十进制计数器,并且
由200HZ,5V电源供给。
作高位芯片与作低芯片位之间
级联。
4)两个芯片间的级联。
2.六十进制计数器设计描述
2.1设计的思路
1)芯片介绍:74LS192 为加减可逆十进制计数器,CPU
端是加计数器时钟信号,CPD是减计数时钟信号RD=1
时无论时钟脉冲状态如何,直接完成清零功能。
RD=0,
LD=0 时,无论时钟脉冲状态如何,输入信号将立即被
送入计数器的输出端,完成预置数功能。
2)十进制可逆计数器74LS192引脚图管脚及功能表
3)74LS192是同步十进制可逆计数器,它具有双时钟输
入,并具有清除和置数等功能,其引脚排列及逻辑符号
如下所示:
图5-4 74LS192的引脚排列及逻辑符号
(a)引脚排列(b) 逻辑符号
图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。
输入输出
MR P3 P2 P1 P0 Q3 Q2 Q1 Q0
1 ××
×
××××0 0 0 0
0 0
×
×
d c b a d c b a
0 1
1
××××加计数
1 1 ××××减计数
4)利用两片74ls192分别作为六十进制计数器的高位和低
位,分别与数码管连接。
把其中的一个芯片连接构成十进制计数器,另一个通过一个与门器件构成一个六进制计数器。
5)如下图:
2.2设计的实现
1)两芯片之间级联;把作高位芯片的进位端与下一级up端
连接这是由两片74LS192连接而成的60进制计数器,低位是连接成为一个十进制计数器,它的clk端接的是低位的进位脉冲。
高位接成了六进制计数器。
当输出端为0101 的时候在下个时钟的上升沿把数据置数成0000 这样就形成了进制计数器,连个级联就成为了60进制计数器,分别可以作为秒和分记时。
2)方案的实现:
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
此电路可以作为简易数字时钟的分钟显示。
下图为60进制计数器的总体框图。
图1 系统总体框图
3. 六十进制计数器的设计与仿真 3.1基本电路分析设计
1) 十进制计数器(个位)电路本电路采用74LS160作为十进制计数器,它是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。
2) 功能表如下;
表1 十进制计数器功能表
CP RD` LD` EP ET 工作状态 ×
×
×
置零
十进制计数器(十位)
十进制计数器(个位)
时钟脉冲
置数
进位
译码显示
译码显示
↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持↑ 1 1 1 1 计数
连接方式如下图:
图2 十进制计数器(个位)
3)十进制计数器(十位)电路
图3 十进制计数器(十位)
4)时钟脉冲电路
5V 200Hz
图4 时钟脉冲电路
5)置数电路
图5 置数电路
6)进位电路
图6 进位电路7)译码显示电路
图7 译码显示电路
8)选定仪器列表
仪器名称型号数量用途
同步十进制计数
器74LS192 2片
级联构成60进制计
数器
与门74ALS09N 各1个辅助设计构成其他计
数器
共阴极显示器DCD-HEX 2只显示数字计数电压源Vcc +5v 1个提供电压
时钟脉冲+5V 200Hz 1个提供时钟脉冲电压
3.2 计数器电路的仿真
1)进入Multisim10.0界面
2)右击空白处,选择放置元件,进入元器件选择区,选择
要放置的元件,然后单击放置。
3)放置好各种器件之后,即可进行线路连接,同时标明所需参数值。
设置元器件的参数时,用鼠标双击,弹出属性对话框,分别给元件赋值,并设置名称标号。
4)确认电路无误后,即可单击仿真按钮,实现对电路的仿
真工作。
5)观察结果看是否与理论分析的预测结果相同。
4.总结
4.1遇到的问题及解决方法
1)在设计过程中我查阅了大量的资料,了解了许多关于计
数器设计方面的问题,进一步理解了各种元器件的使
用方法。
2)这次课程设计让我学到了很多,不仅掌握了简单的电子
电路的设计与制作,也掌握了毕业设计写作的方法和
格式。
在制作电路时,我深深体会到连接电路时一定
要认真仔细,每一步骤都要认真分析。
3)本次课程设计也反映出很多问题,比如竞争—冒险现象
是很常见的,并且消除此现象并不是很容易,尤其是
对结构复杂的电路而言,往往消除了一处竞争—冒险
现象,又产生了另一处,此问题需要我以后多加注意。
4.2实验的体会与收获
1)本设计原理简单,结构清晰,较为容易仿真成功。
从本次课程设计中使我获益匪浅,
2)在实验过程中要用心面对每一个问题,通过不断的
努力去解决这些问题.在解决设计问题的同时自己
也在其中有所收获。
3)首先使我对数电这门课程有了更深的体会,通过对
60进制计数器的设计使我将以前所学的理论知识运
用到实际中去,使用Multisim软件进行仿真,使我
找到了很多以前没有完全理解的知识,通过再次查
找资料,我又学会了很多。
4)通过这次设计我深刻感到自己的知识十分有限,在
以后的课程学习中一定要认真学习理论知识,充实
自己。