基于plc交通灯控制系统课程设计报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录

第一章绪论 (2)

第二章:交通灯控制系统简介 (3)

2.1交通灯控制系统的背景 (3)

2.2 交通灯控制系统的作用 (3)

第三章:交通灯控制系统的设计 (5)

3.1、设计要求 (5)

3.1.1基本要求 (5)

3.1.2附加要求 (5)

3.2 设计思路、状态机变化图 (5)

3.3设计流程 (6)

3.4 设计步骤及程序 (7)

3.5 硬件实现及调试结果 (13)

第四章:课程设计总结 (14)

参考文献 (15)

第一章绪论

EDA是电子设计自动化(Electronic Design Automation)的缩写,。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。

“自顶向下”的设计方法。10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。

所谓数字系统,是指交互式的以离散形式表示的具有存储、传输、处理信息能力的逻辑子系统的集合物。一台数字计算机就是一个最完整的数字系统。显然,数字系统的功能、性能、规模远远超出了一般中小规模数字逻辑电路的范围。基本步骤包括:系统需求分析、算法设计、算法描述、系统结构选择、具体设计、系统仿真与实验。

第二章:交通灯控制系统简介

2.1交通灯控制系统的背景

近年来城市街道车辆大幅度增长,给城市交通带来巨大压力,而街道各十字路口,又是车辆通行的瓶颈所在,研究车辆通行规律,找出提高十字路口车辆通行效率的有效方法,对缓解交通阻塞,提高畅通率具有十分现实的意义。本设计是交通灯控制系统,随着社会的不断的进步,社会的不断发展。交通也日渐复杂,交通的自动化也不断更新,交通的一些指挥系统光靠人来完成是远远不够的,这就需要设计各种交通指挥自动化系统来完成这些复杂的工作。

2.2 交通灯控制系统的作用

交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。为了实现交通道路的管理,力求交通管理先进性、科学化。用可编程控制器实现交通灯管制的控制系统,以及该系统软、硬件设计方法,实验证明该系统实现简单、经济,能够有效地疏导交通,提高交通路口的通行能力。分析了现代城市交通控制与管理问题的现状,结合交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的PLC设计方案。可编程序控制器在工业自动化中的地位极为重要,广泛的应用于各个行业。随着科技的发展,可编程控制器的功能日益完善,加上小型化、价格低、可靠性高,在现代工业中的作用更加突出。

2.3 交通灯控制系统的构成

图2-1

图2-2

第三章:交通灯控制系统的设计

3.1、设计要求

3.1.1基本要求

1.能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态,用两组

红、黄、绿三色灯作为两个方向的红、黄、绿灯;

2.南北向为主干道,每次通行时间为30S,东西向为支干道,每次通行时间

为20S;

3.能实现正常的倒计时功能,用两组数码管作为东西、南北向的倒计时显示。

其中,黄灯:5S。

4.能实现特殊状态的功能。按下SP键后,能实现以下特殊功能:

(1)显示倒计时的两组数码管闪烁;

(2)计数器停止计数并保持在原来的状态;

(3)东西、南北路口均显示红灯状态;

(4)特殊状态解除后能继续计数;

5. 能实现全清零功能。按下reset键后,系统实现全清零,计数器由初状态

计数,对应状态的指示灯亮;

6. 用VHDL语言设计上述功能的交通灯控制器,并用层次化方法设计该电路;

7. 仿真、验证设计的正确性。

3.1.2附加要求

时钟输入:clkin=1KHz

采用分频器分成:1Hz,然后提供给系统

3.2 设计思路、状态机变化图

交通灯控制器的电路控制原理框图如图3-1所示,主要包括置数器模块、定时计数器模块、主控制器模块和译码器模块。置数器模块将交通灯的点亮时间预

置到置数电路中。计数器模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。核心部分是主控制模块。

图3-1 电路控制原理框图

图3-2 状态机变化图

3.3设计流程

由以上要求可以得到该系统的程序流程图如图3-3所示。其中,GA、RA、YA表示A支路的绿灯、红灯、黄灯,GB、RB、YB表示B支路的绿灯、红灯、黄灯,S表示特殊功能按键,T表示计时的时间。

图3-3 程序流程图

3.4 设计步骤及程序

1. 启动QuartusⅡ6.0

2. 编辑文件

单击菜单栏中的File\New命令,打开“Block Diagram\Schematic File”文件。

3. 输入原理图

画出如图3-5所示原理图

图3-5

4 . 输入程序

1)控制部分的设计--ledcontrol.vhd源程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ledcontrol is

port(reset,clk,urgen:in std_logic;

state :out std_logic_vector(1 downto 0);

sub,set1,set2 :out std_logic);

end ledcontrol;

architecture a of ledcontrol is

signal count: std_logic_vector(6 downto 0);

signal subtemp: std_logic;

begin

sub<=subtemp and (not clk);

相关文档
最新文档