计算机组成原理实验一报告

实验(一)基础汇编语言程序设计

1、实验目的

1.学习和了解TEC-XP教学实验系统监控命令的用法;

2.学习和了解TEC-XP教学实验系统的指令系统;

3.学习简单的TEC-XP教学实验系统汇编程序设计。

2、实验内容

1、学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC。

2、使用监控程序R命令显示修改寄存器的内容,D命令显示寄存器的内容,E命令修改存储器的内容。

3、使用A命令写一段小程序,U命令反汇编刚输入的程序,使用G命令连续运行该程序,用T,P命令单步运行并观察程序单步执行情况。

3、实验步骤

1.用R命令查看寄存器内容或修改寄存器的内容。

2.用D命令显示存储器内容。

3.用E命令修改存储器内容。

4.用D命令显示这几个单元的内容。

5.用A命令键入一段汇编源程序

1)在命令行提示符状态下输入:

2)用U命令反汇编刚输入的程序

3)用G命令运行前面刚键入的源程序

4)用P或T命令,单步执行这段程序,观察指令执行结果

6.举例编写汇编程序,用“A”命令输入,运行并观察结果

1)例1:设计一个小程序,从键盘上接收一个字符并在屏幕上输出显示该字符。

1>在命令行提示符状态下输入:

2>用“G”命令运行程序

在命令行提示符状态下输入:

G 2000

执行上面输入的程序。

光标闪烁等待输入,用户从键盘键入字符后,屏幕会显示该字符。

2)例2:设计一个小程序,用次数控制在终端屏幕上输出‘0’到‘9’十个数字符。

1>在命令行提示符状态下输入:

2>用“G”命令运行程序

在命令行提示符状态下输入:

G 2020:

例二【思考题】

类似的,若要求在终端屏幕上输出“A”“Z”共26个英文字母,应如何修改例一中给出的程序,请验证之。

3)例3:从键盘上连续打入多个属于‘0’到‘9’的数字符并在屏幕上显示,遇数字符结束输入过程。

1>在命令行提示符状态下输入:

2>在命令行提示符状态下输入:

G 2040

光标闪烁等待键盘输入,若输入0~9十个数字符,则在屏幕上回显;若输入非数字符,则屏幕不再显示该字符,出现命令提示符,等待新命令。

实验效果如图:在输入G 2040 后只能输入数字,遇到其他字符就会出现命令提示符

【思考题】设计一个算法,计算1+2+3…+99+100=?。

程序代码:

A 命令输入程序语句

2000 MNRD R2,0064

2002 MVRD R0,0000

2004 MVRD R1,0001

2006 ADD R0,R1

2007 INC R1

2008 DEC R2

2009 JRNC 2006

200A OUT 80

U 2000反汇编

G 2000执行代码段

执行结果如下:

4、实验总结:

经过这次组原实验.我基本认识了汇编语言在教学机上的应用。知道了汇编语言的基本原理。最主要是认识了微型教学计算机的组成。让我真正接触到了计算的五大部件等。写代码很容易。抄代码实现结果很容易。就是去了解他的机制,去灵活的应用他才是关键。要写出有意思的代码,了解了汇编语言的基本用法才是目的。过程比结果主要

计算机组成原理实验报告1

计算机组成原理实验报告 实验:4位2进制计数器 姓名:王雄 专业:数媒2班 学号:110511623 指导老师:蒋丽华

【实验环境】 1. Windows 2000 或 Windows XP 2. QuartusII9.1 sp2、DE2-115计算机组成原理教学实验系统一台,排线若干。 【实验目的】 1、熟悉VHDL 语言的编写。 2、验证计数器的计数功能。 【实验要求】 本实验要求设计一个4位二进制计数器。要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F 的数据显示。(其次要求下载到实验版实现显示) 【实验原理】 计数器是一种用来实现计数功能的时序部件,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。 计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下: 计数器的种类?? ?? ? ?? ?? ?? ?? ?? ???????? ???? ?进制计数器十进制计数器 二进制计数器进制可逆计数器 减法计数器加法计数器功能异步计数器 同步计数器结构N 、、、321 下面对同步二进制加法计数器做一些介绍。 同步计数器中,所有触发器的CP 端是相连的,CP 的每一个触发沿都会使所有的触发器状态更新。因此不能使用T′触发器。应控制触发器的输入端,即将触发器接成T 触发器。只有当低位向高位进位时(即低位全1时再加1),令高位触发器的T=1,触发器翻转,计数加1。 例如由JK 触发器组成的4位同步二进制加法计数器,令其用下降沿触发。下面分析它的工作原理。 ①输出方程 n n n n Q Q Q Q CQ 0123= ②驱动方程

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用. 二、实验要求 掌握通用寄存器R3~R0的读写操作. 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效.准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2—3-3 通用寄存器数据通路 四、实验内容 1.实验连线 连线信号孔接入孔作用有效电平

2.寄存器的读写操作 ①目的通路 当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表. 通用寄存器“手动/搭接”目的编码 ②通用寄存器的写入 通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下: 通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下: ③源通路 当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表. 通用寄存器“手动/搭接”源编码

④ 通用寄存器的读出 关闭写使能,令K18(RWR )=1,按下流程分别读R0、R1、R2、R3。 五、实验心得 通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。 实验2 运算器实验 一、实验目的 掌握八位运算器的数据传输格式,验证运算功能发生器及进位控制的组合功能. 二、实验要求 完成算术、逻辑、移位运算实验,熟悉ALU 运算控制位的运用. 三、实验原理 实验中所用的运算器数据通路如图2-3— 1所示。ALU 运算器由CPLD 描述。运算器的输出FUN 经过74LS245三态门与数据总线相连,运算源寄存器A 和暂存器B 的数据输入端分别由2个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O 输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。

计算机组成原理实验一报告

实验(一)基础汇编语言程序设计 1、实验目的 1.学习和了解TEC-XP教学实验系统监控命令的用法; 2.学习和了解TEC-XP教学实验系统的指令系统; 3.学习简单的TEC-XP教学实验系统汇编程序设计。 2、实验内容 1、学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC。 2、使用监控程序R命令显示修改寄存器的内容,D命令显示寄存器的内容,E命令修改存储器的内容。 3、使用A命令写一段小程序,U命令反汇编刚输入的程序,使用G命令连续运行该程序,用T,P命令单步运行并观察程序单步执行情况。 3、实验步骤 1.用R命令查看寄存器内容或修改寄存器的内容。 2.用D命令显示存储器内容。 3.用E命令修改存储器内容。 4.用D命令显示这几个单元的内容。 5.用A命令键入一段汇编源程序 1)在命令行提示符状态下输入: 2)用U命令反汇编刚输入的程序 3)用G命令运行前面刚键入的源程序 4)用P或T命令,单步执行这段程序,观察指令执行结果 6.举例编写汇编程序,用“A”命令输入,运行并观察结果 1)例1:设计一个小程序,从键盘上接收一个字符并在屏幕上输出显示该字符。 1>在命令行提示符状态下输入: 2>用“G”命令运行程序 在命令行提示符状态下输入: G 2000 执行上面输入的程序。 光标闪烁等待输入,用户从键盘键入字符后,屏幕会显示该字符。

2)例2:设计一个小程序,用次数控制在终端屏幕上输出‘0’到‘9’十个数字符。 1>在命令行提示符状态下输入: 2>用“G”命令运行程序 在命令行提示符状态下输入: G 2020: 例二【思考题】 类似的,若要求在终端屏幕上输出“A”“Z”共26个英文字母,应如何修改例一中给出的程序,请验证之。

计算机组成原理实验报告说明

实验一运算器组成实验 一、实验目的 1、掌握运算器的组成及工作原理; 2、了解4位函数运算器74LS181的组合功能,熟悉运算器执行算术和逻辑操作的具体实现过程; 3、验证带进位控制的运算器功能。 二、实验设备 1、EL-JY系列计算机组成及系统结构实验系统一套 2、排线若干。 三、工作原理: 算术逻辑单元ALU是运算器的核心。集成电路74LS181是4位运算器,四片74LS181以并/串形式构成16位运算器。它可以对两个16位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。 三态门74LS244作为输出缓冲器由ALU-G信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。 四片74LS273作为两个16数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。 四、实验内容:

验证74LS181运算器的逻辑运算功能和算术运算功能。 五、实验步骤 1、按照实验指导说明书连接硬件系统; 2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口: 1)、在数据总线上输入有效数据,按"Ldr1",数据送入暂存器1; 2)、在数据总线上输入有效数据,按"Ldr2",数据送入暂存器2; 3)、在S3...Ar上输入有效数据组合,按"ALU功能选择端",运算器按规定进行运算,运算结果送入数据缓冲器; 4)、按"ALU_G",运算结果送入数据总线。 5)、执行完后,按"回放",可对已执行的过程回看。 6)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。 六、实验结果

计算机组成原理 实验报告

计算机组成原理实验报告

实验一基本运算器实验 一、实验目的 1.了解运算器的组成结构 2.掌握运算器的工作原理 3.深刻理解运算器的控制信号 二、实验设备 PC机一台、TD-CMA实验系统一套 三、实验原理 1.(思考题)运算器的组成包括算数逻辑运算单元ALU(Arithmetic and Logic Unit)、浮点运算单元FPU(Floating Point Unit)、通用寄存器组、专用寄存器组。 ①算术逻辑运算单元ALU(Arithmetic and Logic Unit) ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。在某些CPU中还有专门用于处理移位操作的移位器。 通常ALU由两个输入端和一个输出端。整数单元有时也称为IEU(Integer Execution Unit)。我们通常所说的“CPU是XX位的”就是指ALU所能处理的数据的位数。 ②浮点运算单元FPU(Floating Point Unit) FPU主要负责浮点运算和高精度整数运算。有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。 ③通用寄存器组 通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。 ④专用寄存器 专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。 而运算器内部有三个独立运算部件,分别为算术、逻辑和移位运算部件,逻辑运算部件由逻辑门构成,而后面又有专门的算术运算部件设计实验。 下图为运算器内部原理构造图

2.运算器的控制信号 实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供(脉冲信号),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 下图为ALU和外围电路的连接。图中的小方框代表排针座。

计算机组成原理实验报告

计算机组成原理实验报告 实验一静态随机存取存贮器实验 一.实验目的 介绍静态随机存取存贮器的工作原理;掌控读取存贮器的方法。 二.实验内容 实验仪的存贮器mem单元采用一片静态存贮器6116(2k×8bit)存放程序和数据。 ce:片选信号线,低电平有效,实验仪已将该管脚接地。oe:读信号线,低电平有效。we:写信号线,低电平有效。a0..a10:地址信号线。i/o0..i/o7:数据信号线。 ceoewe功能1××不选上6116001读010写下000不确认sram6116功能表 存贮器挂在cpu的总线上,cpu通过读写控制逻辑,控制mem的读写。实验中的读写 控制逻辑如下图: 读取掌控逻辑 m_ni/o用来选择对mem还是i/o读写,m_ni/o=1,选择存贮器mem;m_ni/o=0,选择 i/o设备。nrd=0为读操作;nwr=0为写操作。对mem、i/o的写脉冲宽度与t2一致;读脉冲宽度与t2+t3一致,t2、t3由con单元提供。 存贮器实验原理图 存贮器数据信号线与数据总线dbus相连;地址信号线与地址总线abus相连,6116的高三位地址a10..a8接地,所以其实际容量为256字节。 数据总线dbus、地址总线abus、掌控总线cbus与拓展区单元相连,拓展区单元的数 码管、发光二极管上表明对应的数据。 in单元通过一片74hc245(三态门),连接到内部数据总线idbus上,分时提供地址、 数据。mar由锁存器(74hc574,锁存写入的地址数据)、三态门(74hc245、控制锁存器 中的地址数据是否输出到地址总线上)、8个发光二极管(显示锁存器中的地址数据)组成。 t2、t3由con单元提供更多,按一次con单元的ustep键,时序单元收到t1信号; 按一次ustep键,时序单元收到t2信号;按一次ustep键,时序单元收到t3信号;再按 一次ustep键,时序单元又收到t1信号,……

xx大学计算机组成原理实验报告(全)

上海大学计算机组成原理实验报告(全) 《计算机组成原理实验》报告一姓名学号时间地点行健楼 609机房评阅一.数据传送实验 1. 实验内容及要求 在试验箱上完成以下内容: 将58H写入A寄存器。将6BH写入W寄存器。将C3H 写入R1寄存器。 2. 实验环境 本实验箱用74HC574构成各种寄存器。 3. 实施步骤或参数 ①注视仪器,打开电源,手不要远离电源开关,随时准备关闭电源,注意各数码管、发光管的稳定性,静待10秒,确信仪器稳定、无焦糊味。 ②设置实验箱进入手动模式。 ③K2接AEN,K1和K2接EX0和EX1,设置K2K1K0=010,设置K23~K16=01011000。④注视A及DBUS的发光管,按下STEP键,应看到CK灯灭、A旁的灯亮。记住看到的实际显示情况。 ⑤放开STEP键,应看到CK灯亮、A寄存器显示58。记住看到的实际情况。 ⑥重复上述实验步骤,在做6BH时,K2接WEN,K1和K2

接EX2和EX3,设置K2K1K0=010,设置K23~K16=01101011;重复上述实验步骤,在做C3H时,K2接RWR,K1和K2接SB 和SA,设置K2K1K0=001,设置K23~K16=10100011。 ⑦关闭实验箱电源。 4. 测试或者模拟结果 A寄存器显示58,W寄存器显示6B,R1寄存器显示C3,完成实验目的。 5. 体会 本次实验相对简单,只需要三根线便可以完成整个实验,但是,今天认识了实验箱。 124 并且在老师的带领下较为完整的认识了整个试验箱,还是很开心的,今后实验箱将是我们学习计算机组成原理的重要工具,也是我们的好朋友。 《计算机组成原理实验》报告二姓名学号时间地点行健楼 609机房评阅二.运算器实验 1. 实验内容及要求 在试验箱上完成以下内容: 计算07H+6AH后左移一位的值送OUT输出。把39H取反后同64H相或的值送入R2寄存器。通过人工译码,加深对译码器基本工作原理的理解。 理解命令的顺序执行过程。

计算机组成原理的实验报告

计算机组成原理的实验报告计算机组成原理的实验报告 程序控制器实验 一、实验目的: (1) 理解时序产生器的原理,了解时钟和时序信号的波形。 (2) 掌握微程序控制器的功能、组成知识。 (3) 掌握微指令格式和各字段功能。 (4) 掌握微指令的编制、写入、观察微程序的运行 二、实验设备 PC机一台,TD―CM3+实验系统一套。 三、实验内容及要求: (一)实验原理: 微程序控制电路与微指令格式 (A) 微程序控制电路 微程序控制器的组成见图10,其中控制存储器采用3片2816的EPROM,具有掉电保 2 护功能,微命令寄存器18位,用两片8D触发器(74273)和一片4D(74175)触发器组成。微地址寄存器6位,用三片正沿触发的双D触发器(7474)组成,它们带有清“0”端和预置端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。 在该实验电路中设有一个编程开关(位于实验板右上方),它具有三种状态:PROM (编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中微地址寄存器输出端增加了一组三态门,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。 微程序控制器原理图图10

计算机组成原理实验报告

实验一:数字逻辑——交通灯系统设计子实验1: 7 段数码管驱动电路设计 (1)理解利用真值表的方式设计电路的原理; (2)利用Logisim 真值表自动生成电路的功能,设计一个 7 段数码管显示驱动。 二、实验方案设计 7 段数码管显示驱动的设计方案: (1)输入:4 位二进制 (2)输出:7 段数码管 7 个输出控制信号 (3)电路引脚: (4)实现功能:利用 7 段数码管显示 4 位二进制的 16 进制值 (5)设计方法: 由于该实验若直接进行硬件设计会比较复杂,而7 段数码管显示的真值表较容易掌握,所以我们选择由真值表自动生成电路的方法完成该实验。 先分析设计 7 段数码管显示驱动的真值表,再利用Logisim 中的“分析组合逻辑电路”功能,将真值表填入,自动生成电路。 (6)真值表的设计: 由于是 4输入 7输出,真值表共有 16 行。7输出对应 7个引脚,所以需要依次对照LED 灯的引脚顺序进行设计,如下图所示(注意LED 的引脚顺序): 三、实验步骤 (1)在实验平台下载实验框架文件RGLED.circ; (2)在Logisim 中打开RGLED.circ 文件,选择数码管驱动子电路;

(3)点击“工程”中的“分析组合逻辑电路”功能,先构建4输入和7输出,再在“真值表”中,将已设计好的真值表的所有数值仔细对照着填入表格中,确认无误后点击“生成电路”,自动生成的电路如下图所示: (4)将子电路封装为如下形式:

(5)进行电路测试: ·自动测试 在数码管驱动测试子电路中进行测试; ·平台评测 自动测试结果满足实验要求后,再利用记事本打开RGLED.circ 文件,将所有文字信息复制粘贴到Educoder 平台代码区域,点击评测按钮进行测试。 四、实验结果测试与分析 (1)自动测试的部分结果如下: (2)平台测试结果如下: 综上,本实验测试结果为通过,无故障显示。 本实验的关键点在于:在设计时需要格外注重LED 灯的引脚顺序,保证0-9 数字显示的正确性,设计出正确的真值表。

计算机组成原理实验报告

成绩:计算机原理实验室实验报告 课程: 姓名: 专业: 学号: 日期: 太原工业学院 计算机工程系

实验一:运算器实验 实验环境ISIS 7 Professional 实验日期 一.实验内容 1.熟悉proteus仿真系统 2.设计并验证4位算数逻辑单元的功能 3.实现输入输出锁存 4.实现8位算数逻辑单元 二.理论分析或算法分析 74181能执行16种算术运算和16种逻辑运算。 其中A3-A0,B3-B0是两个操作数,F3-F0是输出结果,Cn表示最低位的外来进位,M 表示工作方式。 利用74181的算术和逻辑功能实现运算器。

三.实现方法(含实现思路、程序流程图、实验电路图和源程序列表等) 选用74181芯片进行运算器实验,利用三组,每组四个单刀双掷开关对电路状态转换进行控制。通过S3~S0的不同取值实现不同的操作,M的值用来区别算术还是逻辑运算,Cn表示是否有进位。利用LED灯直观的展现实验结果其中前两个LED灯用来显示操作数,后一个LED灯用来做输出结果。

四.实验结果分析(含执行结果验证、输出显示信息、图形、调试过程中所遇的问题及处理方法等)正逻辑:逻辑运算M=1, 正逻辑:算术运算M=0,Cn=1(无进位) 正逻辑:算术运算M=0,Cn=0(有进位)

验证了基本要求,实现了74181的算术/逻辑运算功能,通过对工作开关选择工作状态,改变工作方式开关,使电路是处于逻辑操作或算术操作。通过改变进位开关工作状态,改变电路是否有进位。 以工作选择状态为0000为例,当M=1时,电路处于算术运算状态,运算结果为F=A,而A为0000,即F=1111。当M=0,Cn=1时,运算结果为F=A,而A为0000,即F=A=0;当Cn=0时,运算结果为F=A加1,而A为0000,则A加1的结果即为F=A加1=1,如上图所示。 五.结论 完成了本次实验要求的熟悉proteus仿真系统,设计并验证4位算数逻辑单元的功能的实验内容。学会了如何用74181芯片执行16种算术运算和16种逻辑运算,通过改变操作数和开关工作状态,实现不同的运算组合和功能的实现。 在完成运算器实验的过程中,让我熟悉了74181的功能,并且懂得了运算器的实验原理,了解该软件的应用的同时,增强了自己对相关运算的掌握。

计算机组成原理实验报告1

上海大学计算机学院 实验名称:数据传送实验 一、实验目的 1. 理解自然语言形式命令的人工译码过程。 2. 学习系统部件和数据总线间传送数据的操作。 二、实验原理 根据寄存器的工作原理。 三、实验内容 1. 将57H写入A寄存器。 2. 将68H写入W寄存器。 3. 将12H写入R0寄存器, 4. 将23写入R2,并从寄存器OUT输出。 四、实验步骤 1.注视仪器,打开电源,手不能远离电源开关,以便 出现异常情况时随时准备关闭电源,注意各数码 管、发光管的稳定性,静待10秒,确信仪器稳定、 无焦糊味。 2.设置实验箱进入手动模式。(TV/ME键3次) 3.设置K3=0。 4.设置K23~K16=01011111。 5.注视A寄存器及DBUS的发光管,按下STEP键,应

看到CK灯灭、R2旁的灯亮。 6.放开STEP键,应看到CK灯亮、R2寄存器显示AC。 记住看到的实际情况。 7.关闭实验箱电源。 8.记录实验过程和现象。若实验现象与预测不符,则 分析现象、查找原因、排除故障、重复实验,直到 得到预测结果或确信看到“新规律”。 9.重复上述步骤。 【注意】 在传入R0寄存器的时候,设置K2K1K0=000。 K23~K16还是按数字转换成 2进制输入。 将23写入R2,并从寄存器OUT输出时, K2K1K0=010,并接到输出的寄存器。 五、实验现象 按过step键后,相应的数字会准确显示在所传递的 寄存器里。 六、实验数据

七、实验结论 1. 在这个实验中,人分析命令的含义,然后产生控 制总线上的信号。 2. 在实验中K23~K16代表要写入的数值,然后再相 应与寄存器相接。低电平有效,把需要用的寄存器对应的开关打到0. 比如:将57H写入A寄存器 K23~K16为 01011111 AEN为0 其余开关都打到1。 八、数据送入寄存器和寄存器输出到数据总线的控制信 号有何不同? 送入寄存器是寄存器的写操作,RWR置0,数据是从数据总线到寄存器;寄存器输出是寄存器的读操作,数据从寄存器到数据总线。 九、体会 在经过老师的实验原理讲解以后,本以为完全懂得了,但在实际操作过程中,因为对实验箱功能的不熟悉,使操作变得一度非常困难,但经过一段时间的摸索和老师的讲解,逐渐掌握了窍门。 在实验中,我发现成功完成实验需要极大的耐心和仔细。在验收过程中,老师要求把所有的实验结果一起显示,但在实施过程中一点点的疏忽都可能会导

计算机组成原理实验报告精品9篇

计算机组成原理实验报告 课程名称计算机组成原理实验 学院计算机 专业班级 学号 学生姓名 指导教师 20年月日

实验一:基础汇编语言程序设计实验 1实验目的 ●学习和了解TEC-XP+教学实验监控命令的用法; ●学习和了解TEC-XP+教学实验系统的指令系统; ●学习简单的TEC-XP+教学实验系统汇编程序设计。 2实验设备及器材 ●工作良好的PC机; ●TEC-XP+教学实验系统和仿真终端软件PCEC。 3实验说明和原理 实验原理在于汇编语言能够直接控制底层硬件的状态,通过简单的汇编指令查看、显示、修改寄存器、存储器等硬件内容。 实验箱正如一集成的开发板,而我们正是通过基础的汇编语言对开发板进行使用和学习,过程中我们不仅需要运用汇编语言的知识,还需要结合数字逻辑中所学的关于存储器、触发器等基本器件的原理,通过串口通讯,实现程序的烧录,实验箱与PC端的通讯。 4实验内容 1)学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2)学习使用WINDOWS界面的串口通讯软件; 3)使用监控程序的R命令显示/修改寄存器内容、D命令显示存储内容、E命令 修改存储内容; 4)使用A命令写一小段汇编程序,U命令反汇编输入的程序,用G命令连续 运行该程序,用T、P命令单步运行并观察程序单步执行情况。

5实验步骤 1)准备一台串口工作良好的PC机器; 2)将TEC-XP+放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3)将黑色的电源线一段接220V交流电源,另一端插在TEC-XP+实验箱的电源 插座里; 4)取出通讯线,将通讯线的9芯插头接在TEC-XP+实验箱上的串口"COM1"或 "COM2"上,另一端接到PC机的串口上; 5)将TEC-XP+实验系统左下方的六个黑色的控制机器运行状态的开关置于正 确的位置,再找个实验中开关应置为001100(连续、内存读指令、组合逻辑、联机、16位、MACH), 6)控制开关的功能在开关上、下方有标识;开关拨向上方表示"1",拨向下方表示 "0","X"表示任意,其他实验相同; 7)打开电源,船型开关盒5V电源指示灯亮; 8)在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机 的串口为"1"或"2",其他的设置一般不用改动,直接回车即可; (8)按一下"RESET"按键,再按一下"START"按键,主机上显示: 6实验截图及思考题 【例3】计算1到10的累加和。

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一) 计算机组成原理运算器实验报告 实验目的 •理解计算机组成原理中运算器的工作原理 •学习运算器的设计和实现方法 •掌握运算器的性能指标和优化技巧 实验背景 计算机组成原理是计算机科学与技术专业中的重要课程之一,通 过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的 运算器。 实验步骤 1.确定运算器的功能需求 –确定需要支持的算术运算和逻辑运算 –设计运算器的输入和输出接口 2.实现运算器的逻辑电路

–根据功能需求,设计并实现运算器的逻辑电路 –确保逻辑电路的正确性和稳定性 3.验证运算器的功能和性能 –编写测试用例,对运算器的功能进行验证 –测量运算器的性能指标,如运算速度和功耗 4.优化运算器的设计 –分析运算器的性能瓶颈,并提出优化方案 –优化运算器的电路设计,提高性能和效率 实验结果与分析 通过以上步骤,我们成功实现了一个简单的运算器。经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。经过优化后,运算器的速度提高了20%,功耗降低了10%。 实验总结 通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。 参考文献 •[1] 《计算机组成原理》

•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014. 实验目的补充 •掌握运算器的工作原理和组成要素 •学习如何设计和实现运算器的各个模块 •理解运算器在计算机系统中的重要性和作用 实验背景补充 计算机组成原理是计算机科学中的基础课程,它研究计算机硬件 和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对 计算机的性能和功能起着重要作用。 实验步骤补充 1.确定运算器的功能需求 –确定需要支持的算术运算,如加法、减法、乘法、除法等 –确定需要支持的逻辑运算,如与、或、非、异或等 –设计运算器的输入和输出接口,如数据输入和结果输出的方式 2.实现运算器的逻辑电路 –根据功能需求,设计并实现运算器的逻辑电路

计算机组成原理实验报告实验一

计算机组成与结构实验报告

一、实验题目: 1、C51高级语言模型机的设计和实现 2、基本逻辑单元实验 二、实验目的与要求: 实验一: 1.学习手动实验环境的建立 2.了解如何实现中断服务、控制流水灯的快慢等 实验二: 1.学习构成计算机硬件的基础数字逻辑电路的应用; 2.了解74LS08逻辑与、74LS32逻辑或、74LS04逻辑非、74LS138三-八译码器的工作方式。 三、实验步骤(阐述主要步骤): 实验一: ①首先卸去实验连接,系统工作方式设为“微控/在线”。 ②程序代码及微指令代码的装载 ③把I/O单元的S15~S0开关设置为“0FF01”。 ④点击或按动连续运行命令键,进入程序的连续运行。 ⑤结果观察 ⑥中断更新 实验二: ①首先卸去实验连接,系统工作方式设为“手动/搭接”。 ②将I/O单元S15~S8开关置高电平(拨向上方)用作输出设备。 ③分别进行逻辑与、逻辑非、逻辑或以及三八译码器的接线操作 ④结果观察 四、实验结论: 实验一: 设计了一个死循环,S15-S8的对应发光管进入带进位左右移循环状态。将S7~S0设置为“00h”,对应S7~S0的发光管处“暗”状态。中断更新后,S15~S8所对应的发光管的移动速度明显变慢。将S7~S0设置为“01h”,再按中断按钮,S15~S8的发光管移动速度明显加快。

实验二: 1、逻辑与:当与门输入为全1时,其输出为1;否则输出为0。 2、逻辑或:当或门输入为全0时,其输出为0;否则输出为1。 3、逻辑非:当非门输入为0时,其输出为1;当非门输入为1时,其输出为0。 4、三八译码器:验证结果: C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 0 0 0 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 五、心得体会: 实验一: 通过本次实验,我熟悉了试验箱的各个部件,以及学会了如何手动建立实验环境,简单的流水灯实验,让我学习到中断以及更新如何控制,同时,加深了二进制与十六进制之间的转换,学习到了输入输出端启动指令的操作。 实验二: 通过本次实验,对逻辑与逻辑非逻辑或的计算有了进一步的认识,熟悉了试验箱的线控操作,首次了解到三八译码器的知识,对于输入输出端的操作更加熟悉,真切地体会到了与门,与或地区别。

计算机组成原理实验一报告

大连东软信息学院学生实验报告 课程名称:计算机组成原理__ _ 专业班级:__微电子13002班__ 姓名:__王晨旭__________ 学号:___1316060022_____ 14--15 学年第 2 学期

实验报告注意事项 1. 课前必须认真预习实验,认真书写预习报告,了解实验步骤,未预习或预习 达不到要求的学生不准参加实验; 2. 实验完毕,必须将结果交实验指导教师进行检查,并将计算机正常关机、将 仪器设备、用具及椅子等整理好,方可离开实验室; 3. 按照实验要求书写实验报告,条理清晰,数据准确; 4. 当实验报告写错后,不能撕毁,请在相连的实验报告纸上重写; 5.实验报告严禁抄袭,如发现抄袭实验报告的情况,则抄袭者与被抄袭者该次 实验以0分计; 6. 无故缺实验者,按学院学籍管理制度进行处理; 7. 课程结束后实验报告册上交实验指导教师,并进行考核与存档。

实验项目( 1 ) —预习报告 项目 名称 数码系统 实验目的及要求(1)通过简单的工具软件来查看汉字在机器内的存储格式; (2)学习通过GB2312-80文档来计算汉字的区位码,国标码和内码,以加深对汉字内码,国标码,区位码等概念的认识和理解; (3)学习debug的使用。 要求:通过GB2312-80文档来计算汉字“中”、“国”、“人”的区位码,国标码和内码,并通过简单的工具软件来查看汉字在机器内的存储格式,并将两者进行比较,观察结果是否一致。 通过debug软件来查看文本文件在机器内的存储格式,以加深对ASCII码,汉字内码等概念的认识和理解,同时学习debug的使用。 用记事本生成一个含有ASCII码和汉字的文本文件,包含若干换行,再用debug软件查看该文件的内容和长度。 通过简单的汇编程序和debug来查看整数在机器内的存储格式,目的是加深对补码的理解和认识,学习debug的使用。 在已经编写好的汇编程序int.asm中修改相应的数据分别为±15、±63、±127,再对该程序进行汇编和链接,最后用debug软件查看生成的可执行文件int.exe中的数据存储。 实验内容及原理1.汉字的内码计算与查看 2.文本文件的存储格式 3.整数在机器内的存储形式

计算机组成原理实验报告.

实验一 51核发光二极管及数码管实验 一实验题目 51核发光二极管及数码管实验 二实验环境 win7 MedwinV3.0中文版 三实验要求 本实验分为两部分,首先进行51核的介绍,包含通常使用的51单片机及FPGA中51核的原理,通过对比,了解两者的异同。 在了解了51原理后结合51核在FPGA中进行原理实现,再基于FPGA中使用工具进行51核的编程。 在开发板中进行发光二极管实验,数码管实验。编写程序使led灯(LED0,LED6,LED7)每隔一段时间闪烁一次。提示:编写延时程序 delay().(用for循环实现。led灯的闪烁即是不停的亮和灭,即令通用IO口每隔一段时间的输出取反。例如:LED_1=~LED_1。 控制2个数码管显示数字。提示:数码管是由P1口控制的。 四实验设计 1.外部发光二极管管脚:74HC245芯片提高驱动,输出高电平发光二极管亮,输出低电平发光二极管灭,引脚锁定: PIN 100 LED-D1 PIN 99 LED-D2 PIN 94 LED-D3 PIN 92 LED-D4 2.数码管部分:开发板上没有固定的数码管,均需要外接。而且都是FPGA译码。在本实验中,对需要显示的数字经过DECL7S模块进行编码后输出到数码管上。如想输出数字,只需输入0000~1111中的任意数字,如D=0101,则显示为5,D=1100,则显示为C,FPGA输出高时点亮。 3.程序架构是: #include sbit LED_1=P3^6; sbit LED_2=P3^7; void delay_ms(int del) //延时1ms { int i,j; for(i=0;i

计算机组成原理第一次实验报告

OC CLK ;D7 <~D6 tii CLK ID IQ 2D2Q 3D3Q 4D4Q 5D5Q 6D6Q 7D7Q SD8Q 专业班级姓名---- 机---------- 机器号:学号E-mail 分步成绩实验表现实验报告「总成绩 实验一寄存器及数据输出实验 一、实验目的 1、掌握寄存器器件的工作原理,了解COP2000模型机所用主要寄存器的位置、作用、数据通路及控制信号; 2、掌握寄存器组的工作原理; 3、了解计算机中多个寄存器不能同时向内部数据总线送出数据的事实一一COP2000实验仪选择某个寄存 器(允许其向DBUS上输出数据)的方法。 二、实验原理 (一)寄存器 COP200用74HC574来构成寄存器,74HC574勺功能如下: 1,在CLK的上升沿将输入端的数据打入到8个触发器中。 2,当OC=1时触发器的输出被关闭,当OC=0时触发器输出数据计算机组成原理实验报告-

CK T^\ ■4HCJ2 ;DBI S' < DBUS6 ;DBUS3 ...... DBI ;S2 :DBIZSI : DBLSO _g 心 1DJD3D4D?D6D7DSD — hrl-f — — — — — — — — QQQQQ9QQ V • 枫 ■■■ ― ------------- ( ABUS7 ---- ---------- : ABU 錮 ― C AB U SS J2 T 7 H ABUSl ABUS2 -ABUS1 ABUSO it IQ 熙JQJQZS ^M 寄有器w 原理图 2、地址寄存器MAR 堆栈寄存器ST 、输出寄存器OUT 实验 寄存器MAR 原理图 SHCE 寄存器ST 原理图 AES CK 」HZ < gyy 〉 ;— 取丁里 CK ■-.-DB U SJ ' --------------------------------- f — —' -mil HJ ■^D S X S J ~5 ------------ :— DBUSL ------------------------- N — DHUS4 ------ ------------------- — 寄存器A 原理图 oc CLK ID tQ :D 3Q 3E> 』D ■K? *I> g T> 7Q SD SQ ■ 1M3L S - -MUStS - 陆FI 门皿7 W3〔 V LJ3L S2 J l>bCsl ■ oeuso ; ■J OC }€LK IO ID 3升 础 3D 6D 7I> s» S-TOE 7JHC32 . DBUS? D 匹恥「 DBL ■:; DBVS3~ DBUS2 > "iSBUSl DPU^O

相关主题
相关文档
最新文档