EDA技术与VHDL语言重点复习必备
EDA与VHDL复习参考题 (1)
选择题1. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,()是错误的。
A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
2. IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP,下列所描述的IP核中,对于硬IP的正确描述为__________。
A.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路;B.提供设计的最总产品----掩膜;C.以网表文件的形式提交用户,完成了综合的功能块;D.都不是。
3提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。
A .软IP B.固IP C.硬IP D.都不是4 在VHDL程序存盘过程当中,文件名应该是()A. 结构体名B. 程序包名C. 任意D. 实体名5. 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。
A.FPGA是基于乘积项结构的可编程逻辑器件;B. FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。
6. 规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_______实现其逻辑功能。
A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲7.大规模可编程器件主要有CPLD和FPGA两类,下面对FPGA结构与工作原理描述中,正确的是()A FPGA全称为复杂可编程逻辑器件B FPGA是基于乘积项结构的可编程逻辑器件。
VHDL语言与EDA技术复习提纲1
复习提要主要内容第一章概述(1)了解传统的系统硬件设计方法;(2)了解利用硬件描述语言的硬件电路设计方法、特点,了解自上而下的设计方法及基本步骤、优势;(3)了解硬件描述语言的种类、VHDL发展历史第三章VHDL语言程序的基本结构(1)了解VHDL语言程序的组成部分(2)掌握各个组成部分的语法结构实体端口的说明,方向,数据类型构造体定义语句构造体的子结构:BLOCK、PROCESS、PROCEDURE、FUNCTION第四章 VHDL语言的数据类型与运算操作符(1)了解VHDL语言的客体:信号、变量、常数的物理意义及说明场合理解信号与变量的区别(2)VHDL语言的数据类型标准数据类型,用户自定义数据类型(3)数据类型的转换不同的数据类型不能进行运算和直接代入。
掌握常用的转换函数,如由integer 转为std_logic_vector,或由std_logic_vector转为integer。
(4)VHDL语言的运算操作符逻辑运算符、关系运算符、算术运算符、并置运算符必须注意,运算符的左边和右边,以及代入信号的数据类型必须是相同的。
在VHDL语言中,逻辑表达式的左右没有优先级差别,应加上括号确定运算顺序,当然也有例外。
算术运算符并置运算符的应用第五章 VHDL语言构造体的描述方式(1)了解构造体的三种描述方式:行为描述方式,寄存器传输(RTL)描述方式,结构描述方式。
(2)了解VHDL语言的两种延时类型:惯性延时和传输延时(3)了解和掌握结构化描述语句:COMPONENT声明语句+元件例化语句元件例化语句:标号名:元件名 PORT MAP(...)了解两种元件的端口信号映射方法:位置映射方法、名称映射方法Generic语句第六章 VHDL语言的主要描述语句(1)顺序描述语句了解顺序描述语句的应用场合。
了解VHDL中的主要顺序描述语句有哪些。
掌握常用顺序语句的语法格式和应用等理解和掌握信号和变量的区别WAIT语句断言语句信号代入语句变量赋值语句IF语句IF语句的3种书写格式理解和掌握应用IF语句的多选择控制语句隐含的优先级关系。
VHSL语言与EDA复习
1、BIT类型数据和STD-LOGIC类型数据有什么区别?1)bit表示一个信号的值,0或是1,表示信号的状态。
2)STD_LOGIC 为IEEE在93年重新定制的VHDL标准定义,定义了9种不同的值。
U---初始值,X---不定,0,1,Z---高阻,W弱信号不定,L弱信号0,H---弱信号12、信号和变量在描述和使用时有哪些主要区别?信号描述用signal,变量用variable;信号用带入符号<=,变量用赋值符号:=;信号是全局量,变量是局部量;3、在用RTL方式描述寄存器时应注意哪些问题?“X”状态的传递;寄存器RTL描述的限制;关联性强的信号处理。
7、用VHDL语言设计编写一个32分频的分频器。
LIBRARY ieee ;USE ieee.std_logic_1164.all ;USE ieee.std_logic_unsigned.all ;ENTITY mux ISPORT ( q: out std_logic;clk:in std_logic);END mux ;ARCHITECTURE rtl OF mux ISsignal temp:std_logic_vector(4 downto 0);BEGINprocess(clk)isbeginq<=temp(4);if(clk'event and clk='1')thenif(temp="11111")then temp<="00000";else temp<=temp+'1';end if;end if;end process;END rtl;JTAG:(Joint Test Action Group)联合测试行动小组)是一种国际标准测试协议,主要用于芯片内部测试11、试用VHDL语言中的选择信号带入语句描述一个2-4译码器Process(sel)isBeginCase sel isWhen ”00”=>y<=”1110”;When ”01”=>y<=”1101”;When ”10”=>y<=”1011”;When ”11”=>y<=”0111”;When else=>y<=”1111”;End case;End process;12、简述在VHDL中的层次化设计的含义?1)详细定义设计中的模块,各模块之间并行工作。
《EDA技术与VHDL》期末复习大纲
《EDA技术与VHDL》期末复习大纲CH1 EDA技术概述1.基本缩略语的英文全称以及中文含义:VHDL:超高速集成电路硬件描述语言VHSIC(very high speed intergrated circuit)Hardware Description LanguageASIC:专用集成电路Application specific intergrated circuitsEDA:电子设计自动化Electronic design automationCPLD:复杂可编程逻辑器件Complex programmable logic deviceJTAG:联合测试行动组Jiont test action groupIP:知识产权核、知识产权模块Internet protocolSOC:片上系统Sestem on a chipFPGA:现场课编程门阵列Field-programmable gate arrayLUT:可编程查找表Look up tableRTL:寄存器传输级Register transport level2.会使用PROM阵列完成逻辑函数;P12页3.几个重要概念:综合和适配P6\P8编程和配置4.可编程逻辑器件的几大分类;P105.IP在EDA技术中的应用以及意义;P236.基于FPGA/CPLD 的EDA开发设计流程;P67.常见的大规模可编程逻辑器件的编程工艺。
P21CH2 VHDL程序结构与数据对象1.VHDL程序的基本结构,以及常用库;P262.VHDL中的数字表示方法以及数制转换;P343.信号和变量的功能特点以及异同点;P37\384.几种端口模式,区别INOUT和BUFFER的异同;P295.分析体会27页例2-1(4选1多路选择器);P276.会根据VHDL程序画出实体图、电路原理图以及波形图。
CH3 VHDL数据类型与顺序语句1.几个重要VHDL数据类型:BIT和BIT_VECTOR类型STD_LOGIC和STD_LOGIC_VECTOR类型2.几个重要VHDL语句:IF_THENCASE_WHENPROCESSLOOPWAIT(时钟信号产生)GENERIC(可结合第5章复习)3.几个常用的VHDL程序:(1)基本D触发器,含异步复位和时钟使能的D触发器(分析体会异步和同步的具体含义);P55(2)实用计数器;P64(3)优先编码器(掌握用IF 语句描述真值表的方法);P68(4)端口数据统计计数器;P78(5)半加器(注意有若干种程序写法)4.习题3-8及其若干变种(例如:同步置1,同步清零…)目的是练习同步或者异步时钟下的IF语句顺序。
EDA技术与VHDL期末复习
1.下降沿与上升沿的描述。
a)上升沿:clock’event and clock=’1’ rising_edge()b)下降沿:clock’event and clock=’0’ falling_edge()2.信号与变量的区别3.实体中有哪些端口,及其含义。
in: 输入型,此端口为只读型。
out: 输出型,只能在实体内部对其赋值。
inout:输入输出型,既可读也可赋值。
buffer: 缓冲型,与 out 相似,但可读。
4.编程,配置。
通常,将对CPLD的下载称为编程,对FPGA中的SRAM进行直接下载的方式称为配置,但对于OTP FPGA的下载和对FPGA的专用配置ROM的下载仍称为编程5.3-8译码器真值表,写程序LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL; Array ENTITY coder ISPORT(A : IN STD_LOGIC_VECTOR(1 TO 3)Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END coder ;ARCHITECTURE a1 or coder ISBeginIF A=“000” THEN Y<=“00000001”;ELSIF A=“001” THEN Y<=“00000010”;ELSIF A=“010” THEN Y<=“00000100”;ELSIF A=“011” THEN Y<=“00001000”;ELSIF A=“100” THEN Y<=“00010000”;ELSIF A=“101” THEN Y<=“00100000”;ELSIF A=“110” THEN Y<=“01000000”;ELSE Y<=“10000000”;END IF;END a1;6.CPLD,FPGA的中文含义CPLD:(Complex Programmable Logic Device)复杂可编程逻辑器件FPGA:(Field Programmable Gate Array)现场可编程门阵列ASIC:(Application Specific Integrated Circuit)专用集成电路7.常用的库、包library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_Arith.all;use ieee.std_logic_Unsigned.all;8.一个完整的程序由哪几部分构成。
EDA技术与VHDL_汇总
数据对象
信号与变量赋值语句功能的比较
顺序语句
VHDL有6类基本顺序语句 有 类基本顺序语句 •赋值 赋值 •流程控制 流程控制 •等待 等待 •子程序调用 子程序调用 •返回 返回 •空操作 空操作
顺序语句
CASE语句 (顺序语句,须放在进程中) CASE <表达式> IS When <选择值或标识符> => <顺序语句>; ... ; <顺序语句> ; When <选择值或标识符> => <顺序语句>; ... ; <顺序语句> ; ... WHEN OTHERS => <顺序语句>; END CASE ;Fra bibliotek顺序语句
LOOP语句
(1) 单个 单个LOOP语句,其语法格式如下: 语句, 语句 其语法格式如下: [ LOOP标号:] LOOP 顺序语句 END LOOP [ LOOP标号]; (2) FOR_LOOP语句,语法格式如下: [LOOP标号:] FOR 循环变量,IN 循环次数范围 LOOP 顺序语句 END LOOP [LOOP标号];
数据对象
信号
SIGNAL 信号名: 数据类型:= 初始值; 目标信号名<= 表达式 表达式AFTER 时间量 时间量; 目标信号名 SIGNAL a,b,c,y,z: INTEGER ; ... PROCESS (a,b,c) BEGIN y <= a + b ; z <= c – a ; y <= b ; END PROCESS ;
检测信号跳变
注:不完整条件语句——时序电路结构的必要条件和关键所在 不完整条件语句 时序电路结构的必要条件和关键所在 完整电路只能构成组合逻辑电路
EDA技术复习资料(完全版)
EDA技术复习资料一、填空1、EDA设计流程包括设计准备、设计输入、设计处理、和器件编程序四个步骤。
2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。
3、EDA的设计输入主要包括文本输入方式、图形输入方式、和波形输入方式。
4、文本输入是指采用硬件描述语言进行电路设计的方式。
5、功能仿真实在设计输入完成以后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。
6、时序仿真实在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又被称为后仿真或延时仿真。
7、当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、和VERILOG HDL。
8、EDA工具大致分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。
9、IEEE于1987年将VHDL采纳为IEEE#1076标准。
10、用VHDL语言书写的源文件。
即是程序又是文档,即是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。
11、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能快独立存在和独立运行。
12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。
13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。
14、根据VHDL语法规则,在程序中使用的文字、数据对象、数据类型都需要预先定义。
15、VHDL的实体由实体声明部分和结构体组成。
16、VHDL的实体声明部分制订了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。
17、VDHL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。
18、在VHDL的端口声明语句中,端口方向包括IN、OUT、INOUT和BUFFER。
19、VHDL的数据型文字包括整数文字、实数文字、以数制基数表示的文字和物理量文字。
EDA知识点汇总
EDA知识点汇总
一、VHDL基本概念
1、VHDL概念
VHDL(VHSIC(Very High Speed Integrated Circuit)Hardware Description Language)是用于描述硬件结构的高级语言,也是一种数字
系统设计语言,可以描述系统的逻辑结构,数据流,与特定硬件的映射实现,包括模块化,可重用,可综合和可测试特性,是精密,功能强大,拥
有仿真功能的高级硬件描述语言。
2、VHDL的作用
VHDL是一门语言,用它描述数字系统,使用它可以实现在抽象结构
与物理实现间的转换,也就是说VHDL把模型描述作为数字逻辑设计的一
部分,它把数字电路设计与电路的描述分离,实现了电路的抽象化,VHDL
作为一个设计语言,它既可以描述电路,也可以用于设计新的电路
3、VHDL的基本结构
VHDL由三部分组成,包括类型定义部分,声明部分,以及功能实现
部分;
(1)类型定义部分
类型定义部分提供了VHDL语言中的语法,包括数据类型、常量声明、变量声明、信号声明、类型定义等。
(2)声明部分
声明部分提供了用于定义数据类型和信号的描述,包括定义数据类型、变量声明、信号声明等。
(3)功能实现部分
功能实现部分描述了如何将信号和变量连接起来形成所需的逻辑功能。
EDA复习的知识要点1
EDA知识要点:1、目前流行的HDL语言有那些?;2、什么是ASIC。
3、VHDL是由什么机构制定并公布的。
4、VHDL的两大类基本描述语句是什么。
5、MAX+PLUSⅡ平台上,原理图、仿真波形文件、VHDL文件的扩展名是什么?6、结构体常见的功能语句有那些?7、子程序分为那两类,其结构为什么。
8、信号与变量的赋值有何区别?。
9、可编程器件分为哪些类?10、VHDL中常见的库有那些?。
11、不完整的条件语句与完整的条件语句生成的电路有何区别12、VHDL的标识符由什么构成。
13、VHDL中预定义数据类型有那些?。
14、CASE语句使用当中的注意事项。
15、目前国际上较大的PLD器件制造公司有那几家公司。
16、VHDL数据对象有什么17、赋值语句分哪些类,分别写出一句赋值语句。
18、实现时序电路和逻辑组合电路分别用什么语句实现,分别写出他们的一般表式。
19、简述元件例化语句组成及语句格式。
20、数据对象有哪些种,分别写出定义这些数据对象的一般表述格式。
21、简述进程语句的使用要点?22、写出VHDL常用的顺序语句的名称。
23、简述VHDL逻辑操作符的种类及所允许的操作数的数据类型。
24、EDA技术的含义。
25、VHDL语言中的逻辑操作符有那些?26、目前较流行的集成EDA开发环境(软件)有那些?27、简述EDA技术的CPLD/FPGA的设计流程。
28、写出实体中的PORT语句结构并说明其作用。
29、简述EDA技术经历了那几个发展阶段。
30、写出元件例化语句语句格式,并说明其作用。
31、试比较图形输入法和文本输入法有何优缺点?32、结构体的语言格式与作用。
33、写出PROCESS语句结构的一般表达格式?34、EDA技术常用的输入方法有?35、什么是实体和结构体,其功能是什么?,36、MAX+pulsⅡ的编辑窗口有那几种,分别是什么?37、MAX+pulsⅡ的原理图输入法、文本输入法、波形输入法生成的文件扩展名为?38、VHDL的操作符有那几大类?每一类的操作符分别是什么?每一类操作符可以对那些数据进行操作(运算)?39、VHDL中如没有特别的说明算术操作符‘ + ’号对应的操作数为什么类型40、可编程器件(PLD)分为哪两类41、标准逻辑位数据类型常用的数值有哪几种?42、在VHDL语言中常见的的数据类型有那些?43、完整的条件语句将产生什么电路,不完整的条件语句将产生什么电路。
EDA复习的知识要点
EDA知识要点:1、目前流行的HDL语言有那些?;什么是ASIC ?2、VHDL是由什么机构制定并公布的 ? VHDL的两大类基本描述语句是什么 ?3、MAX+PLUSⅡ平台上,原理图、仿真波形文件、VHDL文件的扩展名是什么?4、结构体常见的功能语句有那些?子程序分为那两类,其结构为什么 ?5、信号与变量的赋值有何区别?可编程器件分为哪些类?6、VHDL中常见的库有那些? VHDL的标识符由什么构成?7、不完整的条件语句与完整的条件语句生成的电路有何区别8、VHDL中预定义数据类型有那些? CASE语句使用当中的注意事项 ?9、目前国际上较大的PLD器件制造公司有那几家公司。
10、VHDL数据对象有什么 ? 赋值语句分哪些类,分别写出一句赋值语句。
实现时序电路和逻辑组合电路分别用什么语句实现,分别写出他们的一般表式 ?11、简述元件例化语句组成及语句格式。
12、数据对象有哪些种,分别写出定义这些数据对象的一般表述格式。
13、简述进程语句的使用要点?14、写出VHDL常用的顺序语句的名称。
15、简述VHDL逻辑操作符的种类及所允许的操作数的数据类型。
16、EDA技术的含义。
17、VHDL语言中的逻辑操作符有那些?18、目前较流行的集成EDA开发环境(软件)有那些?19、简述EDA技术的CPLD/FPGA的设计流程。
20、写出实体中的PORT语句结构并说明其作用。
21、简述EDA技术经历了那几个发展阶段。
22、写出元件例化语句语句格式,并说明其作用。
23、试比较图形输入法和文本输入法有何优缺点?24、结构体的语言格式与作用。
25、写出PROCESS语句结构的一般表达格式?26、EDA技术常用的输入方法有?27、什么是实体和结构体,其功能是什么?,28、MAX+pulsⅡ的编辑窗口有那几种,分别是什么?29、MAX+pulsⅡ的原理图输入法、文本输入法、波形输入法生成的文件扩展名为?30、VHDL的操作符有那几大类?每一类的操作符分别是什么?每一类操作符可以对那些数据进行操作(运算)?31、VHDL中如没有特别的说明算术操作符‘ + ’号对应的操作数为什么类型32、可编程器件(PLD)分为哪两类33、标准逻辑位数据类型常用的数值有哪几种?34、在VHDL语言中常见的的数据类型有那些?35、完整的条件语句将产生什么电路,不完整的条件语句将产生什么电路。
EDA技术与VHDL_汇总
EDA技术与VHDL_汇总EDA(电子设计自动化)技术与VHDL(可编程硬件描述语言)是在电子设计领域中广泛应用的两种技术。
EDA技术是指利用计算机辅助设计软件来帮助工程师进行电子电路的设计和验证,提高设计效率和降低成本。
而VHDL是一种硬件描述语言,用于描述电子系统的行为和结构,是EDA技术的重要工具之一、本文将针对EDA技术和VHDL进行综述。
EDA技术是电子设计流程中的重要环节,包括电路设计、电路模拟、布局与布线、物理验证等多个方面。
其中,电路设计主要关注电路行为和结构的设计,通过EDA软件可以对电路进行逻辑综合、时序优化等操作,从而生成最终的电路结构。
电路模拟是对设计电路进行仿真和验证的过程,通过EDA软件可以模拟电路的工作状态,检查设计是否符合预期要求。
布局与布线是将逻辑电路转化为物理电路的过程,在电路板上布置元件并进行连线,以满足电路的功能和性能要求。
物理验证则是根据设计规则和约束对布局和布线结果进行验证,确保电路能够正常工作。
VHDL是一种硬件描述语言,用于描述电子系统的行为和结构。
VHDL提供了抽象层次,可以描述从逻辑门到整个系统的各个层次。
使用VHDL,设计者可以对系统进行模块化描述,将整个系统分解为多个模块并进行独立设计。
VHDL还提供了丰富的工具和语法,用于描述电路的结构、时序、数据流等信息。
通过VHDL描述的电路可以通过EDA软件进行综合、仿真、布局与布线等操作。
VHDL还具有良好的可移植性,设计者可以在不同EDA软件和不同平台上进行开发和验证。
EDA技术与VHDL的结合可以提高电子设计的效率和质量。
通过EDA软件,设计者可以利用图形界面进行电路设计,快速搭建和验证电路结构。
在设计过程中,VHDL可以使设计者在高层次上描述电路功能和结构,提高设计抽象和可重用性。
此外,通过EDA软件可以进行电路的仿真和验证,帮助设计者对电路进行性能、时序等方面的优化,减少设计错误的风险。
在布局与布线阶段,EDA软件可以自动完成复杂的布局与布线操作,优化电路的尺寸和信号传输路径,提高电路的可靠性和性能。
EDA技术实用教程第二版(vhdl)复习大纲
行语句有多种语句格式,它们在结构体中的执行是同步进 行的,其执行方式与书写顺序无关。 VHDL有7种并行语句:并行信号赋值语句,进程语 句 , 块语句 , 条件信号赋值语句 ,元件例化语句 , 生
成语句 , 并行过程调用语句
复习
七、并行语句
1、并行信号赋值语句:P289-292 简单信号赋值语句
2、几种常用预定义程序包:P246
复习
二、VHDL文字规则
VHDL的文字(Literal)主要包括数值和标识符。数值 型文字主要有数字型、字符串型、位串型。
1、数字 数字的表达方式。P247 2、字符及字符串表示:P247 字符放在单引号中; 文字字符串放在双引号中。P247 数位字符串,位矢量:P248 3、标识符:P248 (1)VHDL基本标识符的书写规则:P248 (2)判断标识符是否合法?P248
复习
六、顺序语句
3、 WAIT语句 在进程中(包括过程中),当执行到WAIT等待语句时,运
行程序将被挂起,直到满足此语句设置的结束挂起条件后,
将重新开始执行进程或过程中的程序。 WAIT语句有以下4种语句格式:
WAIT;
WAIT ON 信号表; WAIT UNTIL 条件表达式; WAIT FOR 时间表达式;
复习
一、VHDL程序结构——程序包
1、程序包的一般语句结构:P244 定义程序包的一般语句结构如下: PACKAGE 程序包名 IS -程序包首说明部分 END 程序包名; PACKAGE BODY 程序包名 IS 程序包体说明部分以及包体 END 程序包名; -程序包首
程序包体
注意:一个完整的程序包中,程序包首名与程序包体名是同一名字。
EDA技术与VHDL_汇总
EDA技术与VHDL_汇总EDA(Electronic Design Automation,电子设计自动化)技术是一类软件和工具的总称,用于帮助设计师在集成电路设计过程中进行系统分析、系统设计、电路设计、物理布局、验证等工作。
EDA技术在现代集成电路设计中起着至关重要的作用。
VHDL(VHSIC Hardware Description Language,可编程硬件描述语言)是一种用于描述数字电路和系统的硬件描述语言。
VHDL通过以人类可读的方式描述电路结构和行为,然后使用EDA工具将VHDL代码转换为实际的电路图和布局。
首先,EDA技术提供了各种工具和环境,可以帮助设计者对电路进行分析和模拟,以验证电路的性能和功能。
设计者可以使用EDA工具对电路进行仿真,以便在实际制造之前发现并消除潜在问题。
在这个过程中,VHDL作为一个硬件描述语言,提供了一种方式来描述和验证电路的行为和功能。
其次,EDA技术还可以帮助设计者将VHDL代码转换为物理布局。
设计者可以使用EDA工具进行逻辑合成、布局布线等操作,根据VHDL代码生成实际的电路图。
这些工具可以通过综合技术将高级抽象描述转换为底层的物理结构,从而提高电路设计的效率和准确性。
此外,EDA技术还可以辅助设计者进行电路的优化。
设计者可以使用EDA工具对电路进行优化,并进行不同级别的折中。
例如,可以通过选择不同的元件、更改电路结构或参数来改善电路性能。
这些优化过程需要设计者对VHDL代码进行分析和修改,以达到更好的性能和功耗表现。
最后,EDA技术还可以帮助设计者进行电路的验证。
使用EDA工具可以对已实现的电路进行验证,确保其符合设计要求。
这些工具可以通过测试用例和仿真来验证电路的正确性,并提供分析报告和调试工具,以便设计者快速定位和修复问题。
VHDL作为硬件描述语言,可以提供详细的功能和行为描述,有助于验证过程的进行。
总而言之,EDA技术与VHDL密切相关,通过提供各种工具和环境,帮助设计者完成集成电路设计的各个阶段。
2008EDA技术与VHDL复习提纲定稿
2008EDA技术与VHDL复习提纲定稿复习提示:详细阅读教材上的例子程序,课后习题,掌握程序编制的思路,有时间的同学可以在实验箱上实际操作。
知识点绪论a)应用VHDL进行系统设计的基本步骤。
b)应用VHDL进行系统设计与传统的数字电路设计的优势。
c)CPLD/FPGA和单片机,DSP,ARM等其他控制器比较,其优势是什么?(为什么要用CPLD/FPGA?)d)基本名词:CPLD,FPGA,JTAG,ISP,ASIC等的含义?知识点VHDL入门a)简单VHDL程序的结构,教材的例子,请仔细研读。
b)基本逻辑门的电路符号。
知识点VHDL程序结构a)实体:深刻理解实体的含义,实体的语法格式,给出电路符号能够写出实体,给出实体能画出电路的符号。
b)结构体:深刻理解结构体的含义,结构体的语法格式,了解结构体的子结构。
c)了解子程序的定义格式,说明格式,子程序的使用格式,子程序定义的位置,使用的位置。
d)了解库的含义,库的使用格式。
e)了解程序包的定义格式,使用格式,程序包使用和定义的位置。
f)进程:深入理解进程的含义,进程的语法格式,进程启动条件,进程的同步。
g)了解块语句的格式。
知识点VHDL的词法单元a)了解VHDL文字规则。
b)理解VHDL数据对象:变量、信号、常量,注意他们的定义位置,使用位置,区别。
c)数据类型:了解VHDL的基本数据类型,理解VHDL是一门强数据类型语言,掌握数据类型的定义格式,了解STD_LOGIC等常用数据类型的含义,定义格式,使用注意事项。
d)操作符:了解各种常用的操作符,注意操作符使用时操作数的数据类型知识点顺序语句。
a)顺序语句和并行语句是考核的重点。
b)赋值语句:信号赋值、变量赋值,信号和变量赋值的区别,信号和变量赋值的语法格式,理解信号赋值具有δ延时。
c)流程控制语句i.IF语句。
ii.Case语句。
iii.Loop语句。
iv.掌握这三种语句的语法格式,这三种语句的注意事项,这三种语句和C语言的类似语句的区别。
EDA基础知识复习要点
EDA知识要点:2.VHDL数据对象有:(1)常量(CONSTANT)(2)变量(VARIABLE)(3)信号(SIGNAL)3.VHDL语言中的逻辑操作符有: AND与、OR或、NOT非、NAND与非、NOR或非 XOR异或、XNOR同或七种4.目前较流行的集成EDA开发环境(软件)有:MAX+PULSⅡ和QUARTUS II5.什么是EDA技术?EDA 技术就是以计算机为工作平台、以EDA软件工具为开发环境、以硬件描述语言为设计语言、以ASIC(Application Specific Integrated Circuits)为实现载体的电子产品自动化设计的过程7.写出实体中的端口(PORT)语句结构并说明其作用。
由PORT引导的端口说明语句是对一个设计实体界面的说明,端口为设计实体和外部环境的动态通信提供通道。
格式为PORT(端口名:端口模式数据类型;。
端口名:端口模式数据类型;)8.简述EDA技术经历了那几个发展阶段。
1).CAD(计算机辅助设计)阶段2).CAE(计算机辅助工程)阶段3).ESDA(电子系统设计自动化)阶段9.写出元件例化语句语句格式,并说明其作用。
元件例化语句由两部分组成,前一部分是把一个现成的设计实体定义为一个元件,第二部分则是此元件与当前设计实体中的连接说明,它们的完整的语句格式如下:COMPONENT 元件名 IS --元件定义语句GENERIC (类属表);PORT(端口名表);END COMPONENT ;例化名:元件名 PORT MAP( --元件例化语句[端口名=>]连接端口名,...);11.结构体的语言格式与作用。
ARCHITECTURE 结构体名OF 实体名 IS(说明语句) 用来说明和定义数据对象类型等,可省略BEGIN(功能描述语句) 用来描述内部电路功能的,不可省略END ARCHITECTURE 结构体名;结构体用来描述设计实体的结构或行为,即描述一个实体的功能,把设计实体的输入和输出之间的联系建立起来。
EDA技术与VHDL(复习提纲)[1]
EDA技术与VHDL(复习提纲)[1]EDA技术与VHDL第1章概述第2章PLD硬件特性与编程技术第3章VHDL基础1. 实体的概念?程序<-->元件图2. 结构体的概念?程序<-->逻辑电路3. P42页图3-2对应的逻辑表达式是什么?为什么能够实现2选1多路选择器?4. 2选1多路选择器的3种实现方法?真值表<-->逻辑表达式<-->逻辑电路图<-->程序?5. P44页图3-3/mux21a功能时序波形的理解?6. 标识符能用关键词起名,也能用EDA工具库中预定义的元件名起名?7.综合的作用或意义?8. 可综合的端口模式分别是?数据的流动方向和方式?9. INOUT、BUFFER的区别?10.什么是RTL?11.什么是VHDL的RTL描述?12.在VHDL中,所有合法的顺序描述语句都必须放在进程语句中?13.通常要求将进程中所有的输入信号都放在敏感信号表中?14.试叙述进程的?启动-运行?过程?15.在一个结构体中只能包含一个进程语句结构?16.所有进程语句都是并行语句?17.任一进程PROCESS内部语句结构属于顺序语句?18.VHDL代码文件的后缀扩展名是?19.建议程序文件名与该程序的实体名一致?20.文件名区分大小写吗?21.P48页例3-6,D触发器工作原理?22.STD_LOGIC数据类型定义的数据有几个?分别是什么?什么含义?综合器支持哪几个数据?23.关键词?EVENT?的作用?24.假设clock的数据类型是BIT,试解释为什么?clock’ EVENT AND c lock=’1’”表达式是用来对clock的上升沿进行检测?25.结合P48例3-6说明,为什么不完整条件语句是构建时序电路的关键?26.检测时钟信号上升沿的不同表述方法?(4)27.半加器:真值表<-->逻辑表达式<-->逻辑电路图<-->程序?28.全加器电路图?29.双横线?--??30.元件例化语句的表达式?例化名和元件名如何理解?PORT MAP ()端口映射语句中的?端口名=>连接端口名?,端口名和连接端口名的区分?31.试用两种方法设计4位二进制加法计数器?(1:BUFFER;2:SIGNAL)32.设计异步复位同步使能十进制加法计数器?(流程图)33.?OTHERS=>X?中?OTHERS?的作用?34.异步、同步的概念?35.设计同步并行预臵功能的8位右移移位寄存器?P66例3-22(流程图)36.为什么该移位寄存器是算数右移移位寄存器?(SRA)37.数据对象的种类?38.常数定义的格式?常数定义的设计单元?常数的可视性?常数如果分别在程序包、结构体和进程中定义,哪一个的使用范围广?39.变量的特点(4)?变量定义的格式?40.信号的使用和定义范围?41.符号?<=?两边的数值总是一致的?判断题:1)信号可以在进程中定义?变量可以在结构体中定义?2)信号和常数都可以在实体、结构体和程序包中定义?3)常数和变量都可以在进程和子程序中定义?4)信号可以在函数和过程中定义?5)变量可以在程序包中定义?6)变量赋值需要延时?变量、信号、常量都可以列入进程的敏感表?7)实体的端口可以列入进程的敏感表?8)信号赋值延时(不指定)需要多长时间?指定延时的格式是?指定延时综合器支持吗?9)实体的端口可以看作一种定义数据流向的隐性信号?10)信号可以看作实体内部的没有定义数据流向的端口?42.在进程和结构体的并行语句结构中,信号赋值的区别?43.变量和信号在赋值上的异同点?表3-1(行为特性)44.结合例3-25和例3-26说明信号与变量在延时特性上的差别?(3)45.变量和信号的赋值都需要一个δ延时?46. 在进程中,所有赋值语句,包括变量赋值,都必须在一个δ延时中完成?47.在进程中的所有信号赋值是?假?顺序?真?并行?48. 如在进程中存在对同一信号多次赋值,使信号值发生更新的是第一个赋值源?49. 结合例3-28和例3-29说明顺序语句中信号与变量之间的差别?50. 结合图3-20说明例3-30的工作原理?51. IF语句的4种结构?52. 非完整性条件语句<-->时序电路,完整性条件语句<-->组合电路53. 8线-3线优先编码器的设计?54. PROCESS结构中的顺序语句及其顺序执行过程只是相对于计算机中的软件行为仿真的模拟过程而言?55. PROCESS语句结构如何执行?56. 多数VHDL综合器要求敏感信号表必须列出本进程中所有输入信号名?57. PROCESS语句结构的特点?58. PROCESS为一有限循环语句?59. PROCESS中的顺序语句具有明显的顺序/并行运行双重性?60. 软件语言中每一条语句的执行是按CPU的机器周期的节拍顺序执行?每一条语句执行的时间是确定的?61. 在PROCESS中,一个执行状态的运行周期,即从PROCESS 的启动执行到遇到END PROCESS为止所花的时间与任何外部因素都无关(从综合结果来看),甚至与PROCESS语法结构中的顺序语句的多少都没有关系,其执行时间从行为仿真的角度看(如果没有设臵任何显式的惯性或传输延时),只有一个VHDL模拟器的最小分辨时间,即一个δ时间;但从综合和硬件运行的角度看,其执行时间是0;与信号的传输延时无关,与被执行的语句的实现时间也无关,即在同一PROCESS中,10条语句和1000条语句的执行时间是一样的,显然,从效果上看,PROCESS中的顺序语句具有并行执行的性质。
EDA技术与VHDL语言重点复习必备
1.EDA即Electronic Design Automation(电子设计自动化),就是以计算机为工作平台,以EDA 软件工具为开发环境,以硬件描述语言(HDL)为设计语言,以可编程逻辑器件为实验载体,以ASIC(Application Specific Integrated Circuit)、SOC(System On a Chip)芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
2.EDA最后实现的目标:全定制或半定制ASIC设计,FPGA/CPLD开发应用。
3.作为EDA技术最终实现目标的ASIC,通过三种途径来完成:(1)超大规模可编程逻辑器件:实现这一途径的主流器件是FPGA/CPLD。
直接面向用户,具有极大的灵活性和通用性,使用方便,开发效率高,成本低,技术维护简单,工作可靠性好。
(2)半定制或全定制ASIC:根据实现工艺,可统称为掩膜ASIC,可编程的ASIC具有灵活多样的编程功能。
掩膜ASIC分为:门阵列、标准单元、全定制三类。
(3)混合ASIC:具有面向用户的编程功能和逻辑资源,同时也含有可方便调用和配置的硬件标准单元模块。
4.EDA设计分五级进行分层次设计:(1)系统级即行为级;(2)RTL级;(3)门级:即逻辑设计,以电路或触发器作基本部件,表达各种逻辑关系;(4)电路级:可看作分离的元件为基本元件,具体表达电路在时域的伏安特性或频域的响应等性能;(5)器件级:即板图级。
5.面向FPGA的开发流程:设计输入(图形输入、文本输入)、综合、布线布局(适配)、仿真(时序仿真、功能仿真)、下载和硬件测试。
6.综合的概念:电子设计中,将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程称为综合。
事实上,设计过程中的每一步都可称为一个综合环节。
7.综合的分类:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
EDA技术与VHDL复习资料
# EDA 技术概念:EDA 技术就是依赖功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言位系统逻辑描述手段完成的设计文件,自动地完成用软件方式描述的电子系统到硬件系统的逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。
# 实现目标的ASIC 的三个途径:可编程逻辑器件;半定制或全定制ASIC ;混合ASIC ;# 面相FPGA 的EDA 开发流程:①设计输入:将电路系统以一定的表达方式输入计算机,是在EDA 软件平台对FPGA/CPLD 开发的最初步骤。
两种类型:图形输入;硬件描述语言代码文本输入;②综合:综合器就是能够自动将一种表述形式向另一种表述形式转换的计算机程序,它可将高层次描述转换为低层次描述,是EDA 技术的核心。
③适配:适配器能将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件。
④仿真:让计算机根据一定的算法和一定的仿真库对EDA 设计进行模拟,以验证设计的正确性,以便排除错误。
两种仿真测试:时序仿真;功能仿真;⑤编程下载:把适配后生成的下载或配置文件,通过编程器或下载电缆向FPGA/CPLD 进行下载,以便进行硬件调试和验证。
# CPLD 结构:与或阵列。
MAX7000的5个部分:逻辑阵列块;逻辑宏单元;扩展乘积项;可编程连线阵列;I/O 控制块; # FPGA 结构:查找表逻辑结构。
5个模块:逻辑阵列块;嵌入式存储器块;嵌入式硬件乘法器;I/O 单元;嵌入式PLL 块;# IP 核:IP 是知识产权核或知识产权模块。
# VHDL 程序结构:库与程序包调用声明部分;实体描述部分(电路模块端口描述);结构体描述部分(电路模块功能描述);配置结构部分;# 变量与信号的功能特点及区别:①变量是一个局部量,只能在进程和子程序中使用。
变量的赋值是立即发生的,不存在任何延时行为。
变量的主要作用是在进程中作为临时的数据存储单元。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1.EDA即Electronic Design Automation(电子设计自动化),就是以计算机为工作平台,以EDA 软件工具为开发环境,以硬件描述语言(HDL)为设计语言,以可编程逻辑器件为实验载体,以ASIC(Application Specific Integrated Circuit)、SOC(System On a Chip)芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
2.EDA最后实现的目标:全定制或半定制ASIC设计,FPGA/CPLD开发应用。
3.作为EDA技术最终实现目标的ASIC,通过三种途径来完成:(1)超大规模可编程逻辑器件:实现这一途径的主流器件是FPGA/CPLD。
直接面向用户,具有极大的灵活性和通用性,使用方便,开发效率高,成本低,技术维护简单,工作可靠性好。
(2)半定制或全定制ASIC:根据实现工艺,可统称为掩膜ASIC,可编程的ASIC具有灵活多样的编程功能。
掩膜ASIC分为:门阵列、标准单元、全定制三类。
(3)混合ASIC:具有面向用户的编程功能和逻辑资源,同时也含有可方便调用和配置的硬件标准单元模块。
4.EDA设计分五级进行分层次设计:(1)系统级即行为级;(2)RTL级;(3)门级:即逻辑设计,以电路或触发器作基本部件,表达各种逻辑关系;(4)电路级:可看作分离的元件为基本元件,具体表达电路在时域的伏安特性或频域的响应等性能;(5)器件级:即板图级。
5.面向FPGA的开发流程:设计输入(图形输入、文本输入)、综合、布线布局(适配)、仿真(时序仿真、功能仿真)、下载和硬件测试。
6.综合的概念:电子设计中,将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程称为综合。
事实上,设计过程中的每一步都可称为一个综合环节。
7.综合的分类:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。
(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。
8.在EDA技术中,自顶向下的设计方法的重要意义是什么? 在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。
9.综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。
综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。
8.适配器:也称结构适配器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件。
9.时序仿真:是接近真实器件运行特性的仿真,仿真文件中己包含了器件硬件特性参数,因而,仿真精度高。
10.功能仿真:是直接对VHDL、原理图或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求的过程,仿真过程不涉及任何具体器件的硬件特性。
11.时序仿真与功能仿真的区别:时序仿真的仿真文件必须来自针对具体器件的适配器。
综合后所得的EDIF等网表文件通常作为FPGA适配器的输入文件,产生的仿真网表文件中包含了精确的硬件延迟信息。
功能仿真的过程不涉及任何具体器件的硬件特性。
不经历适配阶段,在设计项目编辑编译(或综合)后即可进入门级仿真器进行模拟测试。
直接进行功能仿真的好处是设计耗时短,对硬件库、综合器等没有任何要求。
12.IP定义为用于ASIC或FPGA/CPLD中预先设计好的电路功能模块。
13.IP分为软IP(用VHDL等硬件面熟语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能)、固IP(完成了综合的功能块)和硬IP(提供设计的最终阶段产品-掩膜)。
14.基于VHDL的自顶向下设计方法(1)设计说明书(2)建立VHDL行为模型(3)VHDL行为仿真(4)VHDL-RTL级建模(5)前端功能仿真(6)逻辑综合(7)测试向量生成(8)功能仿真(9)结构综合(10)门级时序仿真(11)硬件测试(12)设计完成可编程逻辑器件(PLD)诞生于20世纪70年代.80年代以后迅速发展.是大规模集成电路技术发展的产物,是一种半定制的集成电路,结合EDA技术可以快速、方便地构建数字系统。
1.自问世以来,PLD经历了PROM(Programmable Read Only Memory,可编程只读存储器)、PLA(Programmable Logic Array,可编程逻辑阵列)、PAL(Programmable Array Logic,可编程阵列逻辑)、GAL(Generic Array Logic, 通用阵列逻辑)到FPGA、ispLSI(in system programmable Large Scale IC,在系统可编程大规模集成电路)等高密度PLD的发展过程。
2.可编程逻辑器件(PLD)的分类:(1)按集成度(PLD)分类:低集成度PLD(PROM、PLA、PAL、GAL),高集成度PLD(CPLD\FPGA)。
(2)从结构上分:乘积项结构器件(大部分简单PLD和CPLD),查找表结构器件,FPGA (3)从编程工艺上分类:熔丝(Fuse)型器件,反熔丝型器件,EPROM型,EEPROM型,SRAM型,Flash 型。
(4)从可编程特性上分类:一次可编程,重复可编程.3.PROM(Programmable Read Olny Memory可编程只读存储器):只能用于组合电路的可编程,输入变量的增加会引起存储容量的增加。
多输入变量的组合电路函数是不适合用单个PROM来编程表达的。
4.PLA:与阵列和或阵列都可编程。
把逻辑函数化成最简的与或表达式,然后用可编程的与阵列构成与项,用可编程对或阵列构成与项的或运算,在有多个输出时,要尽量利用公共的与项,以提高阵列的利用率。
5.PAL:包含与阵列、或阵列,但或阵列是固定的,只有与阵列可编程。
一次可编程,修改不方便。
6.GAL:具有电可擦除重复编程的特点,与阵列可编程、或阵列固定增加了输出逻辑宏单元。
8.CPLD(Complex Programmable Logic Device)的结构:逻辑阵列块(LAB)、宏单元、扩展乘积项(共享扩展项和并联扩展项)、可编程连线阵列、I/O控制块。
9.FPGA结构与工作原理: (1) 逻辑单元LE (2) 逻辑阵列块LAB(3) 快速通道(4) I/O单元与专用输入端口。
10.FPGA和CPLD的区别:(1)CPLD是以乘积项的结构方式构成的逻辑器件(2)FPGA是以查表法结构方式构成的逻辑器件。
1.变量和常量可以从软件语言中找到对应的类型,然而信号的表现较特殊,它具有更多的硬件特征,是VHDL中最有特色的语言要素之一。
2.常量定义的一般表述:CONSTANT 常数名:数据类型:= 表达式;定义语句所允许的设计单元有实体、结构体、程序包、块、进程和子程序。
常数的可视性,即常数的使用范围取决于它被定义的位置。
3.变量定义的一般表述:VARIABLE 变量名: 数据类型:= 初始值;进程的结构:包含了一个代表着实体中部分逻辑行为的、独立的顺序描述语句。
5.进程的组成:(1)进程说明部分(包括数据类型、常数、变量、属性、子程序等)(2)顺序描述语句(分为赋值语句(信号、变量)、进程启动语句、子程序调用语句、顺序描述语句和进程跳出语句等,)(3)敏感信号参数表6.进程的特点(要点):(1)PROCESS为一无限循环语句。
(2)PROCESS中的顺序语句具有明显的顺序/并行运行双重性。
(3)进程必须由敏感信号的变化来启动。
(4)进程语句本身是并行语句。
(5)信号是多个进程间的通信线。
(6)一个进程中只允许描述对应于一个时钟信号的同步时序逻辑。
1.(1)TYPE 数据类型名IS 数据类型定义OF 基本数据类型;(2)TYPE 数据类型名IS 数据类型定义;2.状态机的优势:(1)状态机克服了纯硬件数字系统顺序方式控制不灵活的缺点。
(2)状态机可以定义符号化枚举类型的状态。
(3)状态机容易构成性能良好的同步时序逻辑模块。
(4)状态机的VHDL表述丰富多样、程序层次分明,易读易懂。
(5)在高速运算和控制方面,状态机更有其巨大的优势。
(6)高可靠性。
3.状态机的结构:(1)说明部分(一般放在结构体的architecture和begin之间);(2)主控时序进程;(3)主控组合进程;(4)辅助进程(用于配合状态机工作的组合进程或时序进程)。
4.Moore状态机和Mealy状态机的区别:信号输出方式上分为这两种。
从输出时序上看,Moore属于同步输出状态机,Mealy属于异步输出状态机。
Mealy型状态机的输出是当前状态和所以输入信号的函数,它的输出是在输入变化后立即发生的,不依赖时钟的同步。
Moore 型状态机的输出则仅为当前状态的函数,这类状态机在输入发生变化时还必须等待时钟的到来,时钟使状态发生变化时才导致输出的变化,所以比Mealy机要多等待一个时钟周期。
1.顺序语句的特点是每一条语句的执行顺序是与它们的书写顺序基本一致的,顺序语句只能出现在进程和子程序中,子程序包括函数和过程。
包含6个基本的赋值语句、流程控制语句、等待语句、子程序调用语句、返回语句和空操作语句。
2.FOR_LOOP语句,语法格式如下:[LOOP标号:] FOR 循环变量IN 循环次数范围LOOP 顺序语句END LOOP [LOOP标号];3.(1)NEXT;--第一种语句格式(2)NEXT LOOP标号;--第二种语句格式(3)NEXT LOOP标号WHEN 条件表达式; --第三种语句格式4. (1)WAIT;-- 第一种语句格式(2)WAIT ON 信号表;-- 敏感信号等待语句(3)WAIT UNTIL 条件表达式;--条件等待语句(4)WAIT FOR 时间表达式;超时等待语句5.结构体中的可综合的并行语句主要有九种:(1)并行信号赋值语句。
(2)进程语句。
(3)块语句。
(4)条件信号赋值语句。
(5)元件例化语句,其中包括类属配置语句。
(6)生成语句。
(7)并行过程调用语句。
(8)参数传递映射语句。
(9)端口说明语句。
6.选择信号赋值语句:WITH 选择表达式SELECT 赋值目标信号<=表达式WHEN 选择值,表达式WHEN 选择值, 表达式WHEN 选择值;7.顺序语句包括:if- then- else 语句、case语句、loop 语句、变量说明、变量赋值、next语句、exit语句、wait 语句、return 语句、null 语句。