中北大学硬件描述语言期末考试试卷及标准答案

合集下载

大学plc期末考试试题和答案

大学plc期末考试试题和答案

大学plc期末考试试题和答案**大学PLC期末考试试题和答案**一、选择题(每题2分,共20分)1. PLC的全称是()。

A. 可编程逻辑控制器B. 可编程线性控制器C. 可编程逻辑计算器D. 可编程逻辑控制板答案:A2. PLC中,I/O模块的作用是()。

A. 存储数据B. 执行逻辑运算C. 输入输出信号处理D. 电源管理答案:C3. 以下哪个不是PLC的编程语言()。

A. 梯形图B. 指令列表C. 功能块图D. 汇编语言答案:D4. PLC的扫描周期主要受哪个因素的影响()。

A. 程序复杂度B. I/O模块数量C. 电源电压D. 存储器大小答案:A5. PLC中,用于实现定时功能的指令是()。

A. LDB. ANDC. TOND. OR答案:C6. PLC的输出接口类型中,晶体管输出适用于()。

A. 交流负载B. 直流负载C. 感性负载D. 容性负载答案:B7. PLC的输入接口类型中,光电耦合器的主要作用是()。

A. 信号放大B. 信号隔离C. 信号滤波D. 信号转换答案:B8. PLC的存储器中,只读存储器(ROM)通常用于存储()。

A. 用户程序B. 系统程序C. 临时数据D. 配置参数答案:B9. PLC的通信接口中,RS-232C通常用于()。

A. 长距离通信B. 短距离通信C. 无线通信D. 局域网通信答案:B10. PLC的故障诊断中,如果输入信号正常但对应的输出没有动作,可能的原因是()。

A. 输入模块损坏B. 输出模块损坏C. 程序逻辑错误D. 电源模块损坏答案:C二、填空题(每题2分,共20分)1. PLC的英文全称是________,中文全称是________。

答案:Programmable Logic Controller,可编程逻辑控制器2. PLC的三个基本组成部分包括________、________和________。

答案:中央处理单元(CPU)、输入/输出(I/O)模块、存储器3. 在PLC的梯形图中,常开接点用________表示,常闭接点用________表示。

机器人期末考试题及答案

机器人期末考试题及答案

机器人期末考试题及答案一、选择题(每题2分,共10分)1. 机器人的三大核心组成部分是?A. 传感器、控制器、执行器B. 传感器、处理器、驱动器C. 传感器、控制器、驱动器D. 控制器、处理器、执行器答案:A2. 下列哪项不是机器人编程中常用的编程语言?A. PythonB. JavaC. C++D. HTML答案:D3. 机器人的自由度是指什么?A. 机器人能够移动的方向数B. 机器人能够旋转的关节数C. 机器人能够达到的位置数D. 机器人能够执行的任务数答案:B4. 机器人视觉系统的主要功能是什么?A. 识别和分类物体B. 控制机器人的移动C. 增强机器人的触觉D. 存储机器人的数据答案:A5. 机器人操作系统(ROS)的主要作用是什么?A. 提供机器人的电源B. 管理机器人的硬件C. 促进机器人软件的模块化开发D. 增强机器人的通信能力答案:C二、填空题(每题2分,共10分)1. 机器人的____是指机器人在没有外部指令的情况下,能够自主完成特定任务的能力。

答案:自主性2. 在机器人技术中,____是指机器人能够识别和理解人类语言的能力。

答案:语音识别3. 机器人的____系统是机器人与人类交互的重要方式之一。

答案:触觉4. 机器人的____是指机器人在执行任务时,能够避免与障碍物发生碰撞的能力。

答案:避障5. 机器人的____是指机器人能够根据环境变化,调整自己的行为以完成任务的能力。

答案:适应性三、简答题(每题10分,共20分)1. 简述机器人在工业自动化中的应用。

答案:机器人在工业自动化中的应用主要包括自动化生产线、物料搬运、焊接、喷涂、装配等。

它们能够提高生产效率,降低人工成本,同时在危险或重复性高的环境中替代人工,提高安全性。

2. 描述机器人在医疗领域的潜在应用。

答案:机器人在医疗领域的潜在应用包括手术辅助机器人、康复机器人、诊断辅助机器人等。

手术辅助机器人可以提高手术的精确度和安全性;康复机器人帮助患者进行物理治疗,加快康复进程;诊断辅助机器人通过分析医学影像资料,辅助医生进行疾病诊断。

硬件试题及答案整合

硬件试题及答案整合

硬件试题及答案(整合)第九章数字电路基础知识一、填空题1、模拟信号是在时间上和数值上都是变化的信号。

2、脉冲信号则是指极短时间内的电信号。

3、广义地凡是规律变化的,带有突变特点的电信号均称脉冲。

4、数字信号是指在时间和数值上都是的信号,是脉冲信号的一种。

5、常见的脉冲波形有,矩形波、、三角波、、阶梯波。

、6、一个脉冲的参数主要有、tr 、、TP T等。

7、数字电路研究的对象是电路的之间的逻辑关系。

8、电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于。

9、电容充放电结束时,流过电容的电流为0,电容相当于。

10、通常规定,RC充放电,当t= 时,即认为充放电过程结束。

11、R C充放电过程的快慢取决于电路本身的,与其它因素无关。

12、R C充放电过程中,电压,电流均按规律变化。

13、理想二极管正向导通时,其端电压为0,相当于开关的。

14、在脉冲与数字电路中,三极管主要工作在和。

15、三极管输出响应输入的变化需要一定的时间,时间越短,开关特性。

16、选择题1 若逻辑表达式F A B=+,则下列表达式中与F相同的是()A、F AB= B、F AB=+= C、F A B2 若一个逻辑函数由三个变量组成,则最小项共有()个。

A、3B、4C、83 图9-1所示是三个变量的卡诺图,则最简的“与或式”表达式为()A、AB AC BC++B、AB BC AC++C、AB BC AC++4 下列各式中哪个是三变量A、B、C的最小项()A、A B C+ C、ABC++ B、A BC5、模拟电路与脉冲电路的不同在于( )。

A、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。

B、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。

C、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。

D、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。

6、己知一实际矩形脉冲,则其脉冲上升时间( )。

硬件编程模拟考试题及答案

硬件编程模拟考试题及答案

硬件编程模拟考试题及答案一、选择题(每题2分,共20分)1. 在数字电路中,最基本的逻辑门是:A. 与门(AND)B. 或门(OR)C. 非门(NOT)D. 异或门(XOR)答案:C2. 下列哪个不是微处理器的组成部分?A. 控制单元B. 算术逻辑单元C. 存储器D. 电源答案:D3. 在C语言中,用于定义存储空间大小为1个字节的变量类型是:A. intB. charC. floatD. double答案:B4. 以下哪个不是汇编语言的特点?A. 依赖于硬件B. 可移植性差C. 可读性好D. 执行效率高答案:C5. 以下哪种存储器不是随机存取存储器(RAM)?A. SRAMB. DRAMC. EPROMD. SDRAM答案:C6. 在计算机系统中,I/O设备指的是:A. 输入设备和输出设备B. 内部设备和外部设备C. 集成设备和外围设备D. 接口设备和外围设备答案:A7. 以下哪个是数字信号的特点?A. 连续变化B. 离散变化C. 可模拟D. 可预测答案:B8. 以下哪个不是数字电路设计中的常用工具?A. 逻辑仿真软件B. 电路图绘制软件C. 编译器D. 波形发生器答案:C9. 在数字电路中,触发器的作用是:A. 存储信息B. 放大信号C. 转换信号D. 过滤信号答案:A10. 以下哪个是微控制器的典型应用领域?A. 个人电脑B. 智能手机C. 嵌入式系统D. 大型服务器答案:C二、简答题(每题10分,共30分)1. 简述冯·诺依曼结构的特点。

答:冯·诺依曼结构是一种计算机组织结构,其特点包括:- 程序存储:程序指令和数据存储在同一类型的存储器中,使用相同的总线进行访问。

- 顺序执行:计算机按照程序存储的顺序执行指令。

- 单一总线:数据和指令共享同一个总线,可能导致数据和指令的访问冲突。

2. 解释什么是中断,以及中断在硬件编程中的作用。

答:中断是一种硬件级别的机制,允许外部设备在不等待CPU完成当前任务的情况下请求CPU的注意。

大学plc考试试题及答案

大学plc考试试题及答案

大学plc考试试题及答案大学PLC考试试题及答案一、选择题(每题2分,共20分)1. PLC的全称是()。

A. 可编程逻辑控制器B. 功率逻辑控制器C. 个人逻辑控制器D. 过程逻辑控制器答案:A2. PLC的工作原理基于()。

A. 顺序控制B. 循环扫描C. 随机控制D. 并行控制答案:B3. 下列哪种输入设备不属于PLC的输入设备()。

A. 按钮B. 传感器C. 显示器D. 继电器答案:C4. PLC的输出设备通常包括()。

A. 指示灯B. 显示器C. 按钮D. 传感器答案:A5. PLC的编程语言不包括()。

A. 梯形图B. 功能块图C. 汇编语言D. 结构化文本答案:C6. PLC的扫描周期主要受()影响。

A. 程序复杂度B. 外部干扰C. 电源电压D. 环境温度答案:A7. PLC的存储器中,()用于存储程序。

A. RAMB. EPROMC. EEPROMD. ROM答案:B8. PLC的通信接口不包括()。

A. RS-232B. RS-485C. USBD. HDMI答案:D9. PLC的输入/输出模块中的DI/DO表示()。

A. 离散输入/输出B. 模拟输入/输出C. 数字输入/输出D. 通信输入/输出答案:A10. PLC的故障诊断功能不包括()。

A. 程序错误检测B. 硬件故障检测C. 环境温度检测D. 电源电压检测答案:C二、填空题(每题2分,共20分)1. PLC的内部存储器主要由_______和_______组成。

答案:RAM、ROM2. PLC的输入/输出接口电路中,光电耦合器的作用是实现_______。

答案:电气隔离3. PLC的扫描周期包括_______和_______两个阶段。

答案:输入处理、程序执行4. PLC的程序存储器中,EPROM是_______存储器。

答案:可擦写5. PLC的输出设备中,继电器输出模块的特点是_______。

答案:高电流、高电压6. PLC的编程软件通常包括_______和_______两种模式。

大学实机考试题及答案解析

大学实机考试题及答案解析

大学实机考试题及答案解析一、单项选择题(每题2分,共20分)1. 计算机的存储器中,RAM表示什么?A. 随机存取存储器B. 只读存储器C. 可编程只读存储器D. 硬盘存储器答案:A2. 下列哪个选项不是操作系统的功能?A. 进程管理B. 设备管理C. 文件管理D. 数据加密答案:D3. 在计算机系统中,CPU指的是什么?A. 中央处理器B. 存储器C. 输入设备D. 输出设备答案:A4. 计算机病毒主要通过什么途径传播?A. 电子邮件B. 网络下载C. 移动存储设备D. 以上都是答案:D5. 下列哪个不是计算机网络的拓扑结构?A. 星型B. 总线型C. 环型D. 线性答案:D6. 在数据库管理系统中,SQL代表什么?A. 结构化查询语言B. 系统查询语言C. 顺序查询语言D. 同步查询语言答案:A7. 计算机的二进制数1010转换为十进制数是多少?A. 8B. 10C. 12D. 14答案:B8. 下列哪个不是计算机硬件的组成部分?A. 中央处理器B. 操作系统C. 存储器D. 输入设备答案:B9. 计算机软件分为哪两大类?A. 系统软件和应用软件B. 硬件软件和应用软件C. 应用软件和数据库软件D. 系统软件和数据库软件答案:A10. 计算机的外存储器包括哪些?A. 硬盘、软盘、光盘B. 内存、硬盘、软盘C. 硬盘、软盘、内存D. 内存、光盘、软盘答案:A二、多项选择题(每题3分,共15分)1. 计算机的输入设备包括哪些?A. 键盘B. 鼠标C. 扫描仪D. 打印机答案:ABC2. 计算机的输出设备包括哪些?A. 显示器B. 打印机C. 音箱D. 鼠标答案:ABC3. 计算机的存储设备包括哪些?A. 硬盘B. 软盘C. 光盘D. 内存答案:ABC4. 下列哪些是计算机病毒的特征?A. 破坏性B. 传染性C. 潜伏性D. 免疫性答案:ABC5. 计算机的网络协议包括哪些?A. TCP/IPB. HTTPC. FTPD. SMTP答案:ABCD三、简答题(每题5分,共20分)1. 简述计算机硬件系统的组成。

2022年中北大学计算机网络技术专业《计算机组成原理》科目期末试卷B(有答案)

2022年中北大学计算机网络技术专业《计算机组成原理》科目期末试卷B(有答案)

2022年中北大学计算机网络技术专业《计算机组成原理》科目期末试卷B(有答案)一、选择题1、某一计算机采用主存Cache存储层次结构,主存容量有8个块,Cache容量有4个块,采取直接映射方式。

若主存块地址流为0,1,2,5,4,6,4,7,1,2,4,1,3,7,2,一开始Cache为空,此期间Cache的命中率为()。

A.13.3%B.20%C.26.7%D.33.3%2、设存储器容量为32字,字长为64位。

模块数m=4,采用低位交叉方式。

存储周期T=200ns,数据总线宽度为64位,总线传输周期r=50ns。

该交叉存储器的带宽是()。

A.32×107bit/sB.8×107bit/sC.73×107bit/sD.18×107bit/s3、加法器采用先行进位的根本目的是()。

A.优化加法器的结构B.快速传递进位信号C.增强加法器的功能D.以上都不是4、下列为8位移码机器数[x]移,当求[-x]移时,()将会发生溢出。

A.11111111B.00000000C.10000000D.011l1l115、一个浮点数N可以用下式表示:N=mr me,其中,e=rc g;m:尾数的值,包括尾数采用的码制和数制:e:阶码的值,一般采用移码或补码,整数;Tm:尾数的基;re:阶码的基;p:尾数长度,这里的p不是指尾数的:进制位数,当ra=16时,每4个二进制位表示一位尾数;q:阶码长度,由于阶码的基通常为2,因此,在一般情况下,q就是阶码部分的二进制位数。

研究浮点数表示方式的主要目的是用尽量短的字长(主要是阶码字长q和尾数字长的和)实现尽可能大的表述范围和尽可能高的表数精度。

根据这一目的,上述6个参数中只有3个参数是浮点数表示方式要研究的对象,它们是()。

A.m、e、rmB. rm、e、rmC.re、p、qD. rm、p、q6、关于同步控制说法正确的是()。

A.采用握手信号B.由统一时序电路控制的方式C.允许速度差别较大的设备一起接入工作D.B和C7、某同步总线采用数据线和地址线复用方式,其中地址/数据线有32根,总线时钟频率为66MHz,每个时钟周期传送两次数据(上升沿和下降沿各传送一次数据),该总线的最大数据传输率(总线带宽)是()。

2022年中北大学软件工程专业《计算机组成原理》科目期末试卷B(有答案)

2022年中北大学软件工程专业《计算机组成原理》科目期末试卷B(有答案)

2022年中北大学软件工程专业《计算机组成原理》科目期末试卷B(有答案)一、选择题1、一个存储器的容量假定为M×N,若要使用I×k的芯片(I<M,k<N),需要在字和位方向上同时扩展,此时共需要()个存储芯片。

A.M×NB.(M/I)×(N/k)C.M/I×M/ID.M/I×N/k2、某容量为256MB的存储器由若干4M×8位的DRAM芯片构成,该DRAM芯片的地址引脚和数据引脚总数是()。

A.19B.22C.30D.363、已知计算机A的时钟频率为800MHz,假定某程序在计算机A上运行需要12s。

现在硬件设计人员想设计计算机B,希望该程序在B上的运行时间能缩短为8s,使用新技术后可使B的时钟频率大幅度提高,但在B上运行该程序所需要的时钟周期数为在A上的1.5倍。

那么,机器B的时钟频率至少应为()能运到所希望的要求。

A.800MHzB.1.2 GHzC.1.5GHzD.1.8GHz4、在计算机系统中,作为硬件与应用软件之间的界面是()。

A.操作系统B.编译程序C.指令系统D.以上都不是5、将高级语言源程序转换为机器目标代码文件的程序是()。

A.汇编程序B.链接程序C.编译程序D.解释程序6、下列关于总线设计的叙述中,错误的是()。

A.并行总线传输比串行总线传输速度快B.采用信号线复用技术可减少信号线数量C.采用突发传输方式可提高总线数据传输率D.采用分离事务通信方式可提高总线利用率7、下列关于总线仲裁方式的说法中,正确的有()。

I.独立请求方式响应时间最快,是以增加处理器开销和增加控制线数为代价的II.计数器定时查询方式下,有,根总线请求(BR)线和一根设备地址线,若每次计数都从0开始,则设备号小的优先级高III.链式查询方式对电路故障最敏感IV.分布式仲裁控制逻辑分散在总线各部件中,不需要中央仲裁器A.III,IVB. I,III,IVC. I,II,IVD.II,III,IV8、某计算机主存地址空间大小为256MB,按字节编址。

中北大学嵌入式期末考试(完整)

中北大学嵌入式期末考试(完整)

一、填空题(30分)1、嵌入式处理器可以分为微控制器(),微处理器(),数字信号处理器(),片上系统()。

2、7采用冯*诺依曼结构,因此对存储器的控制没有专门的存储管理单元,存储器采用间的而直接的地址方式来表示实际的物理地址,没有进行段式页的转换。

3、嵌入式系统地3个基本要素是嵌入性,专用性,计算机系统,嵌入式系统发展的4个阶段分别是以单片机为核心的低级嵌入式系统,以嵌入式微处理器为基础的初级嵌入式系统,以嵌入式操作系统为标志的中级嵌入式系统,以为标志的高级嵌入式系统4、嵌入式系统的硬件有电源模块,嵌入式处理器,存储器模块,可编程逻辑器件,嵌入式系统周边元器件,各种接口,总线以及外部设备和插件等组成。

嵌入式系统的软件包括中间层程序、嵌入式操作系统、应用软件以及实际后用程序层。

5、μ中,任务的状态有5种,分别是睡眠(休眠)状态、就绪状态、等待状态、中断服务(中断)状态、执行(运行)状态。

6、处理器和具有片上总线具体定义了三类总线:(高性能总线)、(系统总线)、(外设总线)。

(不是网上找的)7、7的三级流水线结构分别是:取指、译码、执行。

(不是网上找的)二、选择题(20分)1、嵌入式系统有硬件和软件部分构成,以下(C)不属于嵌入式系统软件。

A. 系统软件B. 驱动C. 软件D. 嵌入式中间件2、处理器的工作模式有(C)种。

A. 5B. 6C. 7D.83、寄存器组有(D )个寄存器。

A、7B、32C、6D、374、下列寄存器标志位的作用说法错误的是(D)。

A. N:负数B. Z: 零C. C: 进位D. V: 借位5、复位异常的入口地址是(A )。

A、0x00000000B、0x00000008C、0x00000018D、0x000000146、下列描述不属于计算机的特点的是(C)。

A.流水线每周期前进一步。

B.更多通用寄存器。

C.指令长度不固定,执行需要多个周期。

D.独立的和指令完成数据在寄存器和外部存储器之间的传输。

2021年中北大学计算机科学与技术专业《计算机组成原理》科目期末试卷A(有答案)

2021年中北大学计算机科学与技术专业《计算机组成原理》科目期末试卷A(有答案)

2021年中北大学计算机科学与技术专业《计算机组成原理》科目期末试卷A(有答案)一、选择题1、某计算机有16个通用寄存器,采用32位定长指令字,操作码字段(含寻址方式位)为8位,Store指令的源操作数和目的操作数分别采用寄存器直接寻址和基址寻址方式。

若基址寄存器可使用任一通用寄存器,且偏移量用补码表示,则 Store指令中偏移量的取值范围是()。

A.-32768~+32767B.-32767~+32768C.-65536~+65535D.-65535~+655362、某计算机按字节编址,指令字长固定且只有两种指令格式,其中三地址指令29条,二地址指令107条,每个地址字段为6位,则指令字长至少应该是()。

A.24位B.26位C.28位D.32位3、由3个“1”和5个“0”组成的8位二进制补码,能表示的最小整数是()。

A.-126B.-125C.-32D.-34、在原码两位乘中,符号位单独处理,参加操作的数是()。

A.原码B.绝对值的补码C.补码D.绝对值5、假定有4个整数用8位补码分别表示:rl=FEH,r2=F2H,r3=90H,r4=F8H,若将运算结果存放在一个8位寄存器中,则下列运算会发生溢出的是()。

A.rlxr4B.r2xr3C.rlxr4D.r2xr46、有效容量为128KB的Cache,每块16B,8路组相联。

字节地址为1234567H的单元调入该Cache,其tag应为()。

A.1234HB.2468HC.048DHD.12345H7、下述说法中正确的是()。

I.半导体RAM信息可读可写,且断电后仍能保持记忆Ⅱ.动态RAM是易失性RAM,而静态RAM中的存储信息是不易失的Ⅲ.半导体RAM是易失性RAM,但只要电源不断电,所存信息是不丢失的IV.半导体RAM是非易失性的RAMA.I、ⅢB.只有ⅢC.Ⅱ、IVD.全错8、指令寄存器的位数取决()。

A.存储器的容量B.指令字长C.机器字长人D.存储字长9、在计算机系统中,表明系统运行状态的部件是()。

2022年中北大学软件工程专业《计算机组成原理》科目期末试卷A(有答案)

2022年中北大学软件工程专业《计算机组成原理》科目期末试卷A(有答案)

2022年中北大学软件工程专业《计算机组成原理》科目期末试卷A(有答案)一、选择题1、地址线A15~A0(低),若选取用16K×1位存储芯片构成64KB存储器,则应由地址码()译码产生片选信号。

A.A15,A14B.A0,AlC.A14,A13D.A1,A22、Cache用组相联映射,一块大小为128B,Cache共64块,4块分一组,主存有4096块,主存地址共需()位。

A.19B.18C.17D.163、计算机硬件能够直接执行的是()。

1.机器语言程序IⅡ.汇编语言程序Ⅲ.硬件描述语言程序入A.仅IB.仅I、ⅡC.仅I、ⅢD. I、Ⅱ 、Ⅲ4、()可区分存储单元中在放的是指令还是数据。

A.存储器B.运算C.用户D.控制器5、程序P在机器M上的执行时间是20s,编译优化后,P执行的指令数减少到原来的70%,而CPl增加到原来的1.2倍,则P在M上的执行时间是()。

A.8.4sB.11.7sC.14sD.16.8s6、下列关于同步总线的说法中,正确的有()。

I.同步总线一般按最慢的部件来设置公共时钟II.同步总线一般不能很长III.同步总线一般采用应答方式进行通信IV.通常,CPU内部总线、处理器总线等采用同步总线A. I,IIB. I,II,IVC.III,IVD.II,III,IV7、在()结构中,外部设备可以和主存储器单元统一编址。

A.单总线B.双总线C.三总线D.以上都可以8、()不是常用三级时序系统中的一级。

A.指令周期B.机器周期C.节拍D.定时脉冲9、指令寄存器中寄存的是()A.下一条要执行的指令B.已执行完了的指令C.正在执行的指令D.要转移的指令10、下列操作中,不属于“中断隐指令”所完成的是()。

I.关中断II.开中断III.保护现场IV.保存断点V.将中断服务程序首地址送PCA. I,IIB.II,III,VC.II,IIID.III,V11、内部异常(内中断)可分为故障(Fault)、陷阱(Trap)和终止(Abort)三类。

2021年中北大学软件工程专业《计算机组成原理》科目期末试卷A(有答案)

2021年中北大学软件工程专业《计算机组成原理》科目期末试卷A(有答案)

2021年中北大学软件工程专业《计算机组成原理》科目期末试卷A(有答案)一、选择题1、有如下C语言程序段:for(k=0;k<1000;k++)a[k]=a[k]+32;若数组a及变量k均为int型,int型数据占4B,数据Cache采用直接映射方式、数据区大小为1KB,块大小位16B,该程序段执行前Cache为空,则该程序段执行过程中访问数组a的Cache缺失率约为()。

A.1.25%B.2.5%C.12.5%D.25%2、存储器采用部分译码法片选时,()。

A.不需要地址译码器B.不能充分利用存储器空间C.会产生地址重叠D.CPU的地址线全参与译码3、假设机器字长为8位(含两位符号位),若机器数DA日为补码,则算术左移一位和算术右移一位分别得()。

A.B4H EDHB.F4H 6DHC.B5H EDHD.B4H 6DH4、4位机器内的数值代码,则它所表示的十进制真值可能为()。

I.16 Ⅱ.-1 Ⅲ.-8 V.8A. I、V、ⅢB.IⅡ、IⅣC.Ⅱ、Ⅲ、IVD.只有V5、某机字长8位,含一位数符,采用原码表示,则定点小数所能表示的非零最小正数为()A.2-9B.2-8C.2-7D.2-66、系统总线中的数据线、地址线、控制线是根据()来划分的。

A.总线所处的位置B.总线的传输方向C.总线传输的内容D.总线的材料7、下列关于总线说法中,正确的是()I.使用总线结构减少了信息传输量II.使用总线的优点是数据信息和地址信息可以同时传送III.使用总结结构可以提高信息的传输速度IV.使用总线结构可以减少信息传输线的条数A.I,II,IIIB.II,III,IVC.III,IVD.只有I8、只有当程序要执行时,它才会去将源程序翻译成机器语言,而且一次只能读取、翻译并执行源程序中的一行语句,此程序称为()。

A.目标程序B.编译程序C.解释程序D.汇编程序9、()可区分存储单元中在放的是指令还是数据。

硬件考试题及答案

硬件考试题及答案

硬件考试题及答案一、单项选择题(每题2分,共20分)1. 计算机硬件系统的核心部件是()。

A. 显示器B. 键盘C. 中央处理器(CPU)D. 鼠标答案:C2. 以下哪个不是计算机的输入设备?()A. 键盘B. 鼠标C. 打印机D. 扫描仪答案:C3. 计算机存储器中,RAM表示()。

A. 只读存储器B. 随机存取存储器C. 可编程只读存储器D. 硬盘存储器答案:B4. 下列哪个接口是用于连接显示器的?()A. USBB. HDMIC. VGAD. RJ45答案:C5. 计算机主板上的BIOS芯片是用来存储()。

A. 操作系统B. 应用程序C. 系统配置信息D. 用户数据答案:C6. 以下哪个是硬盘的接口类型?()A. SATAB. IDEC. USBD. HDMI答案:A7. 计算机的内存条通常安装在主板上的哪个插槽?()A. PCI插槽B. AGP插槽C. DIMM插槽D. ISA插槽答案:C8. 以下哪个是计算机的输出设备?()A. 键盘B. 鼠标C. 打印机D. 扫描仪答案:C9. 计算机的CPU中,核心数越多,通常意味着()。

A. 价格越便宜B. 性能越差C. 性能越好D. 耗电量越小答案:C10. 计算机的硬盘通常用来存储()。

A. 临时数据B. 系统配置信息C. 用户数据和操作系统D. 缓存数据答案:C二、多项选择题(每题3分,共15分)1. 以下哪些是计算机的外部存储设备?()A. 硬盘B. 固态硬盘(SSD)C. 光盘D. 内存条答案:A, B, C2. 计算机的主板上通常有哪些类型的插槽?()A. PCI插槽B. AGP插槽C. DIMM插槽D. USB插槽答案:A, B, C3. 以下哪些是计算机的输入设备?()A. 键盘B. 鼠标C. 显示器D. 扫描仪答案:A, B, D4. 计算机的CPU性能通常由哪些因素决定?()A. 核心数B. 缓存大小C. 主频D. 制造工艺答案:A, B, C, D5. 以下哪些是计算机的显示接口类型?()A. VGAB. DVIC. HDMID. USB答案:A, B, C1. 计算机的CPU和内存条是同一类存储设备。

plc大学期末考试试卷和答案

plc大学期末考试试卷和答案

plc大学期末考试试卷和答案**PLC大学期末考试试卷和答案**一、选择题(每题2分,共20分)1. PLC的全称是()。

A. 可编程逻辑控制器B. 可编程线性控制器C. 可编程逻辑计算机D. 可编程逻辑电路答案:A2. PLC的扫描周期包括()。

A. 输入采样、程序执行、输出刷新B. 输入采样、程序执行、输出处理C. 输入处理、程序执行、输出刷新D. 输入采样、程序处理、输出刷新答案:A3. PLC的输入/输出接口电路中,光电耦合器的主要作用是()。

A. 隔离B. 放大C. 转换D. 滤波答案:A4. PLC的程序存储器中存储的是()。

A. 程序代码B. 数据C. 系统软件D. 硬件配置信息答案:A5. 在PLC的编程语言中,梯形图的主要特点是()。

A. 易于理解B. 易于编程C. 易于调试D. 所有选项答案:D6. PLC的输出接口电路中,晶体管输出的主要特点是()。

A. 低电平输出B. 高电平输出C. 只能驱动直流负载D. 只能驱动交流负载答案:A7. PLC的输入接口电路中,如果输入信号是交流信号,则需要()。

A. 直接接入B. 通过继电器转换C. 通过整流电路转换D. 通过隔离电路转换答案:C8. PLC的程序执行过程中,如果遇到定时器指令,PLC会()。

A. 立即执行B. 跳过执行C. 延迟执行D. 随机执行答案:C9. PLC的网络通信中,Profibus是一种()。

A. 现场总线B. 以太网C. 串行通信D. 无线通信答案:A10. PLC的程序中,如果使用“AND”指令,那么输出结果为“1”的条件是()。

A. 所有输入都为“1”B. 至少一个输入为“0”C. 所有输入都为“0”D. 至少一个输入为“1”答案:A二、填空题(每题2分,共20分)1. PLC的英文全称是__________,中文全称是__________。

答案:Programmable Logic Controller,可编程逻辑控制器2. PLC的三个主要组成部分是__________、__________和__________。

2022年中北大学公共课《大学计算机基础》期末试卷A(有答案)

2022年中北大学公共课《大学计算机基础》期末试卷A(有答案)

2022年中北大学公共课《大学计算机基础》期末试卷A(有答案)一、单项选择题1、与二进制数01000011等值的十进制数是()A.19B.35C.67D.1312、八进制数453转换成十进制数是()A.324B.267C.299D.2653、十进制数103等于二进制数()A.01110011B.01101111C.01101011D.011001114、一个数是11011D,则它是一个()A.二进制B.八进制C.十进制D.十六进制5、宏病毒可感染以下的文件()A.exeB.docC.batD.txt6、下列选项中,不包含汉字编码的是()A.GB2312B.UCSC.ASCIID.GB180307、操作系统中,文件管理的主要作用是()A.完成文件的高速输入输出B.按完成文件内容对文件的存取C.完成按文件名对文件的存放D.完成按文件属性对文件的存取8、下列有关Windows7快捷方式的叙述中,错误的是()A.快捷方式可以在安装应用程序时自动产生B.快捷方式可以由用户自主创建C.快捷方式图标的左下角有一个回D.删除应用程序的快捷方式后,相应的应用程序也被删除9、Windows7“控制面板”的主要作用是()A调整窗口大小B设置系统配置C编辑程序D设置高级语言10、在Windows 7中,对话框是一中特别的窗口,可对其进行的操作是()A.既不能移动,也不能改变大小B.仅可以移动,不能改变大小C.既可以移动,也可以改变大小D.仅可以改变大小,不能移动11、在Windows 7中,要删除一个应用程序,正确的操作应该是()A. 打开“资源管理器”窗口,使用鼠标拖动操作B. 打开“控制面板”窗口,双击“添加/删除程序”图标C. 打开“MS-DOS”窗口,使用Del命令D. 打开“开始”菜单,选中“运行”项,在弹出的“运行”对话框中使用Del命令12、在Windows 7中,能弹出对话框的操作是()A. 选择了带省略号的菜单项B. 选择了带向右三角形箭头的菜单项C. 选择了颜色变灰的菜单项D. 运行了与对话框对应的应用程序13、在Windows 7的菜单中,若某命令项后面有黑三角“”,则表示该命令项()A.有下级子菜单B.将弹出对话框C.双击鼠标可直接执行D.单击鼠标可直接执行14、下列有关Word2010文档自动生成目录的叙述中,正确的是()A.通过目录项能够直接定位到某个段落B.自动生成目录的前提是首先对文档标题设置相同的字体C.自动牛成目录的前提是首先对文档标题设置不同的段落缩进D.对文档进行修改后,不可通过“更新域”操作更新目录15、在Word中,段落的“悬挂缩进”是指()A.控制段落的第一行左缩进B.控制段落的第二行左缩进C.控制段落中除了第一行以外的各行缩进D.控制段落第一行第一个字符的起始位置16、在Word2010的“开始”→“段落”组中,国按钮表示()A.居中对齐B.分散对齐C.左对齐D.两端对齐17、在Word中,下列组合键中可以选定从插入点开始到行首内容的是()A. Ctrl+HomeB. Shift+HomeC. Alt+HomeD. Ctrl+Enter18、在Windows的应用程序窗口中,打开“控制菜单”的快捷键是()A.Alt+空格键B.Ctrl+空格键C.Alt+EscD.Ctrl+Esc19、为Word文档设置保护口令时,最多可以输入的字符数是()A.8个B.11个C.15个D.18个20、在对Excel 2010工作表中数据进行筛选时,没有的筛选方式是()A.手工筛选B.自动筛选C.用自定义筛选器筛选D.高级筛选21、在Exce12010中,创建自定义序列的操作是()A."开始”→“填充”+“系列”B.“插入”→“对象”C.数据”→“排序和筛选”→“高级”D.“文件”→“选项”+“高级”22、在Excel 2010“页面布局”功能区的“页面设置”组中不能设置的是()A页边距B纸张大小C打印区域D列宽23、在Excel 2010工作表中,最基本的编辑单位是()A.单元格B.一行C.一列D.工作表24、VLOOKUP函数中一共有4个参数,其中第1个参数代表()A.是否精准匹配B.跟踪数据的区域C.返回第几列D.判断条件25、下列有关Word 2010“撤销”操作的叙述中,正确的是()A.已经撤销的操作将无法再被恢复B.用户可以按照从后到前的顺序撤销任意步操作C.撤销操作对应的组合键是“Ctrl+Y”D.用户不能有选择地撤销不连续的操作26、在PowerPoint 2010中有5个视图切换按钮,其位置在演示文稿窗口的()A.右上角B.左上角C.右下角.D.左下角27、要在演示文稿中添加艺术字,可以使用的菜单是()A.“格式"B.“插人”C.“编辑”D.".工具”28、下列有关SQL语言的叙述中,正确的是()A.SQL语言是过程化的语言B.数据查询时应使用CREATE语句C.SQL语言中的FROM子句可以独立使用D.SQL语言可以嵌入其他语言程序命令中,以程序方式使用29、下列不属于计算机网络主要功能的是()A.数据通信B.资源共享C.分担负荷D.控制其他工作站30、下列不正确的IP地址是()A.179. 120. 109. 70B.103. 256. 111. 3C.198. 192. 173. 50D.202. 126. 73. 192二、填空题31、在Windows 7系统下,专门用于切换当前文档窗口的组合键是________。

大一机械c语言期末试题及答案

大一机械c语言期末试题及答案

大一机械c语言期末试题及答案一、选择题(每题2分,共20分)1. C语言中,用于定义变量的关键字是()。

A. intB. floatC. charD. all of the above答案:D2. 下列哪个选项是C语言中的字符串字面量?A. "Hello"B. 'Hello'C. "123"D. 123答案:A3. 在C语言中,用于计算两个整数之和的运算符是()。

A. +B. -C. *D. /答案:A4. 下列哪个选项是C语言中的合法变量名?A. 2variableB. variable2C. _variableD. all of the above答案:C5. C语言中,用于定义函数的关键字是()。

A. functionB. defC. voidD. int答案:D6. 在C语言中,用于定义一个整型数组的语法是()。

A. int array[10];B. int [10] array;C. int array = 10;D. int array[10] = {0};答案:A7. 下列哪个选项是C语言中的逻辑运算符?A. &&B. ||C. !D. all of the above答案:D8. 在C语言中,用于输入输出的库函数是()。

A. printfB. scanfC. coutD. cin答案:B9. 下列哪个选项是C语言中的位运算符?A. &B. |C. ^D. all of the above答案:D10. 在C语言中,用于定义一个结构体的关键字是()。

A. structB. unionC. enumD. typedef答案:A二、填空题(每题2分,共20分)1. 在C语言中,表示逻辑“与”的运算符是______。

答案:&&2. C语言中,表示逻辑“或”的运算符是______。

答案:||3. C语言中,表示逻辑“非”的运算符是______。

2022年南京师范大学中北学院公共课《C语言》科目期末试卷A(有答案)

2022年南京师范大学中北学院公共课《C语言》科目期末试卷A(有答案)

2022年南京师范大学中北学院公共课《C语言》科目期末试卷A(有答案)一、填空题1、设x为无符号整数。

表达式x^(~(~0<<n)<<(p+1-n)))的作用是将x 中从第p位开始的n位求反(1变0,0变1),其他位不变。

请按表达式的求值顺序写出分解步骤,并解释含义。

__________________________________2、C语言源程序文件的后缀是;经过编译后,生成文件的后缀是;经过连接后,生成文件的后缀是3、结构化程序由________、________ 、________ 3种基本结构组成。

4、表达式pow(2.8,sqrt(double(x))值的数据类型为_______。

5、若有定义语句:charc='\010';则变量c中包含的字符个数为_______。

6、当运行以下程序时,从键盘输入book<回车>book囗<回车>(囗表示空格),则下面程序段的运行结果是_______。

char al[80],a2[80],*sl=al,*s2=a2;gets(s1);gets(s2);if(!strcmp(sl,s2))printf("x");else printf("#");printf("%d",strlen(strcat(sl,s2))}7、函数swap(int x,inty)可完成对x和y值的交换。

在运行调用函数中的如下语句后,a[0]和a[1]的值分别为_______,原因是_______。

a[o]=1;a[1]=2;swap(a[o],a[1]);8、设有以下定义和语句,请在printf语句的_______中填上能够正确输出的变量及相应的格式说明。

union{int n;doublex;}num;num.n=10;num.x=10.5;printf("_______",_______);9、为建立如图10-5所示的存储结构(即每个结点两个域,data是数据域,next是指向结点的指针域),请将定义补充完整。

中北大学硬件描述语言及器件试题及标准答案

中北大学硬件描述语言及器件试题及标准答案

中北大学试题答案及评分标准硬件描述语言及器件课程(课程名称须与教学任务书相同)20xx/20xx 学年第一学期试题类别 A拟题日期拟题教师课程编号教师编号使用班级备注:试题答案要求按指定规格计算机打印,并将其文本与电子稿一并上交:①校级考试课程交评估与考试中心命题科;②院级考试课程交院教务科。

20xx/20xx 学年第一学期末考试试题答案及评分标准(A卷)硬件描述语言及器件使用班级: xxxx一、填空题(20分,每空格1分)1、VHDL语言中标识符通常分为短标识符,扩展标识符两种。

2、VHDL对象包含常量,信号,变量,文件 4个基本数据类型。

3、VHDL语言中,数据类型常量说明的一般格式为: CONSTANT常数名:数据类型:=表达式;。

4、VHDL中位矢量类型表达为 bit ,位向量类型表达为 bit_vector() 。

5、VHDL语言有类型标记法,函数转换法,常数转换法 3种不同类型的数据变换方法。

6、VHDL中,设D0为“1001”, D1为'0', D2为“0110”。

D0 & D1的运算结果是“10010”,D0 & D2的运算结果是“10010110”。

7、VHDL语言中包括四种运算操作符,分别是逻辑运算符,算术运算符,关系运算符,和并置运算符。

8、为了启动进程,VHDL语言中必须包含一个显示的敏感信号量表或者包含一个wait语句。

二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确判断依据3分)1、进程之间的通信可以通过变量传递来实现。

(×)进程之间的通信需通过信号传递实现。

2、VHDL语言的高速性体现在其进程之内的带入语句都是并行执行的。

(×)进程之内的带入语句是顺序执行的。

3、语句y <= a when s=”00” elseb when s=”01” elsec when s=”10” elsed;中,s=”00”条件的优先级最高(√)4、com1:u1 PORT MAP(a => n1,b => n2,c => m);语句中采用了位置映射的信号端口映射方式。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中北大学试题答案及评分标准硬件描述语言及器件课程(课程名称须与教学任务书相同)20xx/20xx 学年第一学期试题类别 A拟题日期拟题教师课程编号教师编号使用班级备注:试题答案要求按指定规格计算机打印,并将其文本与电子稿一并上交:①校级考试课程交评估与考试中心命题科;②院级考试课程交院教务科。

20xx/20xx 学年第一学期末考试试题答案及评分标准(A卷)硬件描述语言及器件使用班级: xxxx一、填空题(20分,每空格1分)1、VHDL语言中标识符通常分为短标识符,扩展标识符两种。

2、VHDL对象包含常量,信号,变量,文件 4个基本数据类型。

3、VHDL语言中,数据类型常量说明的一般格式为: CONSTANT常数名:数据类型:=表达式;。

4、VHDL中位矢量类型表达为 bit ,位向量类型表达为 bit_vector() 。

5、VHDL语言有类型标记法,函数转换法,常数转换法 3种不同类型的数据变换方法。

6、VHDL中,设D0为“1001”, D1为'0', D2为“0110”。

D0 & D1的运算结果是“10010”,D0 & D2的运算结果是“10010110”。

7、VHDL语言中包括四种运算操作符,分别是逻辑运算符,算术运算符,关系运算符,和并置运算符。

8、为了启动进程,VHDL语言中必须包含一个显示的敏感信号量表或者包含一个wait语句。

二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确判断依据3分)1、进程之间的通信可以通过变量传递来实现。

(×)进程之间的通信需通过信号传递实现。

2、VHDL语言的高速性体现在其进程之内的带入语句都是并行执行的。

(×)进程之内的带入语句是顺序执行的。

3、语句y <= a when s=”00” elseb when s=”01” elsec when s=”10” elsed;中,s=”00”条件的优先级最高(√)4、com1:u1 PORT MAP(a => n1,b => n2,c => m);语句中采用了位置映射的信号端口映射方式。

(×)采用的是名称映射方式三、判断题(10分)use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;以上库和程序包声明是否完整?否,如果不完整请添加library ieee;entity rom isport(addr: in std_logic;ce: in std_logic;data:out std_logic_vector(7 to 0));end rom;以上实体定义有无错误?有,有的话请改正原语句 std_logic_vector(0 to 7)或std_logic_vector(7 downto 0)beginprocess(ce,addr)begin √if ce='0' × then case addr iswhen ‘0’=>data<="10001001";when others=>data<="10011000";elseif × elsif data<="00000000";end if×;end behave;× end process;以上architecture中划线各行有无错误?请在相应行划线位置判断并改正。

四、编程题(共50分)1、请补全以下2-4译码器VHDL程序实体及结构体部分(本题10分)entity de2_4 isport ( sel : in std_logic_vector(1 downto 0); input : in std_logic;a,b,c,d : out std_logic);end de2_4;architecture behavioral of de2_4 isbeginprocess ( sel,input )beginif sel = “00” thena <= input;elsif sel = “01” thenb <= input;elsif sel = “10” thenc <= input;elsed <= input;end if;end process;end Behavioral;2、试用case语句设计一个四——十六译码器,画出MaxplusⅡ生成的器件简图。

写出结构体中核心部分即可。

(本题10分)CASE sel ISWHEN "0000" => a <= input;WHEN "0010" => c <= input;WHEN "0011" => d <= input;WHEN "0100" => e <= input;WHEN "0101" => f <= input;……WHEN OTHERS => p <= input;END CASE;3、编写一个6分频器的VHDL程序,请写出库说明、实体、结构体语句,将端口定义为标准逻辑型数据结构,并画出正确仿真的波形示意图(本题15分)library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fenpinqi isport (fosc,rst : in std_logic;outq : out std_logic);end fenpinqi;architecture decoder of fenpinqi is--Behavioralsignal count : std_logic_vector(7 DOWNTO 0);signal q : std_logic;beginoutq <= q;process ( fosc,rst)beginif rst = '0' thencount <= "00000000";q <= '0';elsif fosc'event and fosc='1' thenif count = "00000010" thencount <= "00000000";q <= not q;elsecount <= count +1;end if;end if;end process;end decoder;4、设计一个8进制计数器,要求采用异步复位进行初始化,请写出实体、结构体语句(15分)。

entity counter_8 isport ( clk : in std_logic;rs : in std_logic;count_out : out std_logic_vector(3 downto 0));end counter_8;architecture Behavioral of counter_8 issignal next_count : std_logic_vector(3 downto 0);signal d_count : std_logic_vector(3 downto 0);beginprocess ( clk,rs )beginif rs = '0' thennext_count <= "0000";elsif clk'event and clk='1' thenif next_count = "0111" thennext_count <= "0000";elsenext_count <= next_count + 1;end if;end if;end process;process ( clk,rs )beginif rs = '0' thend_count <= "0000";elsif clk'event and clk='1' thenif next_count = "0111" thend_count <= d_count + 1;end if;end if;end process;count_out <= d_count;end Behavioral;。

相关文档
最新文档