(整理)实验三序列发生器与序列检测器实验报告.

合集下载

EDA实验报告实验三、序列检测器的设计

EDA实验报告实验三、序列检测器的设计

实验三、序列检测器的设计一、预习内容1、预习序列发生器和检测器的基本工作原理;2、画出实验原理草图;3、写出实验的基本步骤和源程序。

二、实验目的1、掌握序列检测器的工作原理;2、学会用状态机进行数字系统设计。

三、实验器材PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干四、实验要求1、检测连续4个‘1’的状态机,画出状态转移图,并写出VHD代码;(必做部分)2、了解试验箱,完成数据分配器的硬件实验。

(实验一的硬件操作,首次使用请谨慎使用试验箱!)3、检测“10001110”序列,当检测到该序列后输出为‘1’。

(此部分选作)五、实验原理和实验内容1、序列发生器原理(此部分选作)在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号,产生序列信号的电路称为序列信号发生器。

本实验要求产生一串序列“10001110”。

该电路可由计数器与数据选择器构成,其结构图如图6-1所示,其中的锁存输出的功能是为了消除序列产生时可能出现的毛刺现象:图6-1 序列发生器结构图2、序列检测器的基本工作过程:序列检测器用于检测一组或多组由二进制码组成的脉冲序列信号,在数字通信中有着广泛的应用。

当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。

由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置的对应码相同。

在检测过程中,任何一位不相等都将回到初始状态重新开始检测。

状态图如图6-2所示:图6-2 序列检测器状态图3、状态机的基本设计思想:在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生几率。

同时,状态机的设计方法也是数字系统中一种最常用的设计方法。

一般来说,标准状态机可以分为摩尔(Moore)机和米立(Mealy)机两种。

实验三 序列信号检测器的设计

实验三  序列信号检测器的设计

实验三序列信号检测器的设计一、实验目的:1、理解序列信号检测器的工作原理;2、掌握原理图和文本输入的混合设计输入方法;3、理解状态划分;4、掌握状态机程序的编写方法;二、实验原理:序列检测器是时序数字电路中非常常见的设计之一。

它的主要功能是:将一个指定的序列从数字码流中识别出来。

接下来的设计就是针对“011”这个序列的检测器。

设input为数字码流输入,output为检出标记输出,高电平表示“发现指定序列”,低电平表示“没有发现指定的序列”。

设输入的码流为“001101101111011111...”,在时钟2~4中,码流input里出现指定序列“011”,对应输出output在第4个时钟变为高电平“1”,表示发现指定"011”,以此类推。

序列发生器模块采用的是M(n=4)序列发生器,详细可以参考《EDA技术与应用》一书的4.4团队协作及逻辑锁定。

三、实验内容(1)最终的顶层设计原理图如下:左侧的四个D触发器组成的电路为M序列信号发生器模块,它可以产生15位随机的二进制码流。

发生器产生的二进制码流每隔15个时钟周期重复出现一次。

详细的可以在最终的时序仿真图中看出来。

右侧的get_str模块为检测模块,给予状态机的结构编写的,具体如下:library ieee;use ieee.std_logic_1164.all;entity get_ser isport(clk : in std_logic;input : in std_logic;reset : in std_logic;serout : out std_logic_vector(1 downto 0);output : out std_logic);end entity;architecture rtl of get_ser is-- Build an enumerated type for the state machine type state_type is (s0, s1, s2, s3);-- Register to hold the current statesignal state : state_type;beginserout <= ('0'&input);-- Logic to advance to the next stateprocess (clk, reset)beginif reset = '1' thenstate <= s0;elsif (rising_edge(clk)) thencase state iswhen s0=>if input = '0' thenstate <= s1;elsestate <= s0;end if;when s1=>if input = '1' thenstate <= s2;elsestate <= s1;end if;when s2=>if input = '1' thenstate <= s3;elsestate <= s1;end if;when s3 =>if input = '1' thenstate <= s0;elsestate <= s1;end if;end case;end if;end process;-- Output depends solely on the current state process (state)begincase state iswhen s0 =>output <= '0';when s1 =>output <= '0';when s2 =>output <= '0';when s3 =>output <= '1';end case;end process;end rtl;(2)理解状态机请根据上图对照get_str程序理解检测“011”序列的基本编程思路。

序列信号检测实验报告

序列信号检测实验报告

一、实验目的1. 理解序列信号检测的基本原理。

2. 掌握序列信号检测的方法和步骤。

3. 通过实验验证序列信号检测的准确性。

4. 分析实验结果,探讨影响序列信号检测准确性的因素。

二、实验原理序列信号检测是数字信号处理中的一个重要领域,主要研究如何从含有噪声的信号中检测出特定的序列信号。

本实验采用模拟信号检测的方法,通过设计序列信号发生器和检测器,实现对特定序列信号的检测。

三、实验器材1. 信号发生器2. 数据采集器3. 计算机及软件(如MATLAB等)4. 信号分析仪四、实验步骤1. 设计序列信号发生器:- 根据实验要求,设计特定的序列信号,如“1101”。

- 使用信号发生器产生该序列信号。

2. 设计序列信号检测器:- 设计一个检测器,用于检测序列信号。

- 检测器可以采用状态机或有限状态机(FSM)实现。

3. 实验设置:- 将信号发生器产生的序列信号输入到数据采集器。

- 将数据采集器采集到的信号输入到计算机进行后续处理。

4. 信号处理:- 使用MATLAB等软件对采集到的信号进行预处理,如滤波、去噪等。

- 对预处理后的信号进行序列信号检测。

5. 结果分析:- 分析实验结果,比较检测器检测到的序列信号与原始序列信号是否一致。

- 分析影响序列信号检测准确性的因素,如噪声水平、信号带宽等。

五、实验结果与分析1. 实验结果:- 通过实验,成功检测到了设计的序列信号“1101”。

- 检测到的序列信号与原始序列信号基本一致。

2. 结果分析:- 实验结果表明,所设计的序列信号检测器能够有效地检测出特定序列信号。

- 影响序列信号检测准确性的因素主要包括:- 噪声水平:噪声水平越高,检测难度越大。

- 信号带宽:信号带宽越窄,检测难度越大。

- 序列长度:序列长度越长,检测难度越大。

六、实验结论1. 序列信号检测实验验证了序列信号检测的基本原理和方法。

2. 通过实验,掌握了序列信号检测的步骤和技巧。

3. 实验结果表明,所设计的序列信号检测器能够有效地检测出特定序列信号。

序列检测器实验报告

序列检测器实验报告

序列检测器设计实验内容:设计一个1110010序列检测器,即检测器检测到序列1110010时,输出为1,否则输出为0。

输入信号:一个时钟输入信号clk;一个输入端x以输入序列来检测;一个输入y用来选择是检测序列1110010或是检测自己输入的序列;一个输入k(7..0)用来输入想要检测器检测的序列;输出信号:一个7位输出信号q,用来输出正在检测的7位序列;一个1位输出信号unlk,当被检测序列符合时,输出unlk为1否则为0;中间信号:再定义两个7位的中间信号a和combination;执行操作:在上升的时钟沿时候,将从x输入的序列赋给7位a,在y等于1的情况下,令中间信号combination为1110010,否则,在y等于0的情况下,令中间信号combination为从k输入的七位长序列。

最后把a的值赋给q,如果a与combination输出unlk等于1否则等于0。

(1)序列检测器语言设计:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;USE IEEE.STD_LOGIC_ARITH.ALL;entity xulie2 isport (clk,x:in std_logic;y:in std_logic;k:in std_logic_vector(7 downto 1);unlk:out std_logic;q:out std_logic_vector(7 downto 1)); end xulie2;architecture art of xulie2 issignal a:std_logic_vector(7 downto 1);signal combination: std_logic_vector(7 downto 1);beginprocess(clk)beginif clk'event and clk='1' thena<=a(6 downto 1)&x;if y='1' thencombination<="1110010";else combination<=k;end if;end if;q<=a;end process;unlk<='1' when(a=combination) else '0';end art;序列检测器波形图:其中ENDTIME=10.0us GRIDSIZE=100.0ns波形图分析:如图,选择输入端y输入为1时,q对应着输出从x输入的7位序列,如果从x输入的待检测的7位序列为1110010时,unlk为1,否则为0,当选择输入端y输入为0时,q依旧对应着输出从x输入的待检测的当前7为序列,但是只有当从x输入的7为序列与从k输入的7位序列一致时,输出端unlk才为1,否则为0。

高等教育工科序列检测器的实验报告

高等教育工科序列检测器的实验报告

序列检测器实验一、实验目的1、掌握序列检测器的工作原理;2、掌握时序电路的经典设计方法;3、学习AHDL 语言的状态机的设计方法;二、实验内容设计一个1110010 序列检测器,即检测器连续收到一组串行码“1110010”后,输出检测标志1,否则输出0。

要求用图形输入法完成:①作状态图和状态表;②状态化简,建立最简状态表;③写出状态编码;画出状态编码表;④建立激励函数,输出函数真值表;⑤写出激励函数和输出函数表达式;⑥画出逻辑电路测试图;⑦逻辑功能仿真,记录仿真波形并加以说明;⑧下载验证(两种以上的方式)。

三、实验平台及实验方法用电路图输入法完成序列检测器,对其进行逻辑功能运用MaxplusII软件进行波形仿真再结合FPGA(即对试验箱的芯片进行编译)下载验证。

四、实验原理代码序列检测器是一种同步时序电路,它用于搜索,检测输入的二进制代码串中是否出现指定的代码序列,001 序列检测器的原理图如下:实验设计(每收到一次信号时钟必须有一次上升沿)状态编码状态命名输入(x)0 1000 收到1个0 S0 000(s0)/0 001(s1)/0 001 收到1个1 S1 000(s0)/0 011(s2)/0 011 收到11 S2 000(s0)/0 010(s3)/0 010 收到111 S3 110(s4)/0 010(s3)/0 110 收到1110 S4 100(s5)/0 001(s1)/0 100 收到11100 S5 000(s0)/0 101(s6)/0 101 收到111001 S6 111(s7)/1 011(s2)/0 111 收到1110010 S7 000(s0)/0 001(s1)/0卡诺图化简Q1* Q2*00 01 11 10 00 0 0 1 0 01 0 1 0 0 11 0 0 0 0 1011Q3* 00 01 11 10 00 0 0 1 1 01 0 1 1 1 11 0 0 1 0 1013212133132321313212321321321''*''''''*''''''*Q Q Q XQ XQ Q Q XQ Q XQ Q Q Q Q XQ Q Q Q Q Q Q XQ Q Q Q X Q Q X Q ++=++++=++= D 触发器 OR5五、实验操作门电路图实验过程:1.启动MaxplusII 软件;2.新建一个逻辑图编辑界面,点Max+plus II Grahic Editor ,根据逻辑原理图编辑逻辑图;00 01 11 10 00 0 0 0 0 01 0 1 1 1 11 0 0 0 1 1011XQ1Q2Q3Q2Q3XQ1Q2Q3 XQ13.编译。

序列信号发生器实验报告

序列信号发生器实验报告

序列信号发生器实验报告一、实验目的本实验旨在掌握序列信号发生器的基本原理、工作方式及其应用,熟悉序列信号发生器的使用方法,并能够正确地进行信号发生器的操作和调试。

二、实验原理序列信号发生器是一种能够产生各种复杂波形信号的电子设备,它可以通过数字信号处理技术将数字信号转换成模拟信号输出。

序列信号发生器主要由数字模块、模拟模块和控制模块组成,其中数字模块负责处理输入的数字信号,将其转换成模拟波形;模拟模块则负责对数字信号进行滤波、放大等处理;控制模块则负责对整个系统进行控制和调节。

三、实验步骤1. 打开序列信号发生器电源,并接通输出端口与示波器。

2. 进入菜单界面,选择所需的波形类型及频率。

3. 设置幅度、相位等参数,并进行调节。

4. 调节完毕后,观察示波器上输出波形是否符合预期。

四、实验注意事项1. 在使用过程中应注意安全,避免操作不当导致电击等危险情况的发生。

2. 操作时应按照正确的步骤进行,避免误操作导致设备损坏或数据丢失。

3. 在进行调节时应注意幅度、相位等参数的合理设置,以保证输出波形符合预期。

4. 在实验结束后应及时关闭设备,并将其归位。

五、实验结果分析通过本次实验,我们成功地掌握了序列信号发生器的基本原理和使用方法,能够正确地进行信号发生器的操作和调试。

在实验中,我们成功地产生了多种复杂波形信号,并观察到了输出波形的特点和变化规律。

这些结果对于我们深入理解序列信号发生器的工作原理和应用具有重要意义。

六、实验总结本次实验是一次非常有意义的实践活动,通过亲身操作和调试,我们深入理解了序列信号发生器的基本原理和使用方法,并在此过程中积累了宝贵的经验。

通过这次实验,我们不仅提高了自己的技能水平,还加深了对电子技术相关知识的认识和理解。

相信这些经历将对我们今后从事相关工作具有重要帮助。

实验三_用状态机实现序列检测器的设计

实验三_用状态机实现序列检测器的设计

实验三_用状态机实现序列检测器的设计引言:序列检测器是一类常用的电子设计电路,它在接收到特定的输入序列时,会产生特定的输出序列。

在许多应用场景中,如通信系统、数字信号处理和自动控制等领域,序列检测器都发挥着重要的作用。

本实验将利用状态机的概念,设计并实现一个简单的序列检测器。

一、序列检测器的设计原理序列检测器的设计原理基于状态机的思想。

状态机是一种抽象的计算模型,它由一组状态、一组输入和一组转移动作组成。

在序列检测器中,输入序列被连续地输入,状态也会根据输入进行不断变化。

当状态机检测到了预设的特定输入序列时,就会产生相应的输出序列。

二、序列检测器的设计步骤1.确定输入和输出序列:首先确定所需检测的输入序列和对应的输出序列,这将决定状态机的状态转移条件。

2.绘制状态转移图:根据输入和输出序列,绘制状态转移图,即用状态变量和状态转移条件表示状态转移关系。

3.设计状态机的状态转移表:根据状态转移图,将所有可能的状态转移关系整理为一个状态转移表。

4.实现状态机的代码逻辑:根据状态转移表,编写代码实现状态机的逻辑功能。

三、设计实例在本实验中,我们以一个简单示例为例,演示序列检测器的设计流程。

假设输入序列为0101,当检测到该输入序列时,输出序列为011.确定输入和输出序列:输入序列为0101,输出序列为012.绘制状态转移图:根据输入和输出序列,绘制状态转移图如下:0/00,S0,1/1/1说明:状态S0表示未检测到特定输入序列,状态S1表示检测到特定输入序列。

3.设计状态机的状态转移表:根据状态转移图,得到状态转移表如下:输当前状态,0,1S0,S0,S1S1,S0,S14.实现状态机的代码逻辑:根据状态转移表,编写代码实现状态机的逻辑功能,伪代码如下:if (当前状态 == S0)if (输入 == 0)当前状态=S0;输出=0;} else if (输入 == 1)当前状态=S1;输出=0;}} else if (当前状态 == S1)if (输入 == 0)当前状态=S0;输出=1;} else if (输入 == 1)当前状态=S1;输出=1;}}四、实验总结本实验利用状态机的思想,设计并实现了一个简单的序列检测器。

序列检测器_实验报告

序列检测器_实验报告

一、实验目的1. 理解序列检测器的工作原理和设计方法;2. 掌握时序电路的经典设计方法;3. 学习使用Verilog HDL语言进行状态机的设计;4. 通过实验验证序列检测器的功能。

二、实验原理序列检测器是一种同步时序电路,用于检测输入的一串二进制编码。

当输入序列与预设的编码相匹配时,输出高电平;否则,输出低电平。

序列检测器在数字通信、安全防盗、密码认证等领域有着广泛的应用。

序列检测器的基本工作原理如下:1. 预设一个编码序列,称为目标序列;2. 当输入序列与目标序列相匹配时,输出高电平;3. 当输入序列与目标序列不匹配时,输出低电平。

三、实验器材1. PC机一台;2. EDA教学实验系统一台;3. 下载电缆一根(已接好);4. 导线若干。

四、实验步骤1. 设计序列检测器的Verilog代码;2. 在EDA教学实验系统上编译、仿真和下载Verilog代码;3. 连接实验电路,下载Verilog代码;4. 通过逻辑分析仪观察输出波形,验证序列检测器的功能。

五、实验内容1. 设计一个长度为4位的序列检测器,目标序列为1001;2. 设计一个长度为8位的序列检测器,目标序列为11001001;3. 通过实验验证序列检测器的功能。

六、实验代码```verilogmodule seqdet(input clk, // 时钟信号input rst, // 复位信号input [3:0] din, // 输入序列output reg out // 输出信号);// 定义状态localparam [1:0] IDLE = 2'b00,MATCH = 2'b01,NOMATCH = 2'b10;// 状态寄存器reg [1:0] state, nextstate;// 输出函数always @(posedge clk or posedge rst) beginif (rst) beginstate <= IDLE;out <= 1'b0;end else beginstate <= nextstate;out <= (state == MATCH) ? 1'b1 : 1'b0; endend// 激励函数always @() begincase (state)IDLE: beginif (din == 4'b1001) beginnextstate = MATCH;end else beginnextstate = NOMATCH;endendMATCH: beginnextstate = IDLE;endNOMATCH: beginnextstate = IDLE;enddefault: beginnextstate = IDLE;endendcaseendendmodule```七、实验结果与分析1. 长度为4位的序列检测器:当输入序列为1001时,输出高电平;当输入序列不为1001时,输出低电平。

(整理)实验三序列发生器与序列检测器实验报告.

(整理)实验三序列发生器与序列检测器实验报告.

南昌大学实验报告姓名: 学号:6100210173 专业班级:中兴通信101实验类型:验证□综合■设计□创新□实验日期:2012、11、16实验四序列信号发生器与检测器设计一、实验目的1、.学习VHDL文本输入法2、学习有限状态机的设计3、设计序列信号发生器和检测器二.实验内容与要求1. 设计序列发生器,完成序列为0111010011011010的序列生成器2.用有限状态机设计序列检测器,实现串行序列11010的检测器3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0”4. 对检测到的次数计数三.设计思路1.设计分频器因为最终要把待检测序列的检测次数在数码管上显示出,所以必须设计一个分频器,将起始频率作为数码管的扫描频率,而将分频后的频率作为序列发生器的移位频率,所以在程序中设置10KHZ进行分频分成1HZ脉冲(10KHZ的扫描频率是为了让数码管的动态显示更加清晰)2.设计序列发生器在这次的设计序列发生器时没有用状态转移的方法来来形成一个16位的序列,而是通过直接设计一串16位的序列,通过对最高位的输出与并置来形成一串循环的16位序列,这样设计简单方便,易于操作与控制,也减少了在状态转移产生的误差,其主要的核心程序为:architecture bhv of p2 issignal bs: std_logic_vector(15 downto 0):="0111010011011010";beginxlout<=bs(15);process (clk1hz)beginif (clk1hz'event and clk1hz='1') thenbs<= bs(14 downto 0)&bs(15);先将序列最高位输出至序列检测器中,然后在一个脉冲作用下,将此时最高位变成最低位,其余14位不变,使序列循环移动,最终形成一个16位循环序列。

实验三-序列信号发生器与检测器设计

实验三-序列信号发生器与检测器设计

实验三序列信号发生器与检测器设计一、实验目的1.学习一般有限状态机的设计;2.实现串行序列的设计。

二、设计要求1.先设计序列信号发生器;2.再设计一个序列信号检测器,若系统检测到串行序列11010则输出为“1”,否则输出为“0”,并对其进行仿真和硬件测试。

三、实验设备PC机,Quartu eⅱ软件,实验箱四、实验原理CLK 脉冲CNT加一。

2、序列信号检测器状态转移图:五、实验步骤1、信号发生器1)建立工作库文件夹,输入设计项目VHDL代码,如下:L I B R A R Y I E E E;U S E I E E E.S T D_L O G I C_1164.A L L;U S E I E E E.S T D_L O G I C_A R I T H.A L L;U S E I E E E.S T D_L O G I C_U N S I G N E D.A L L;E N T I T Y X L S I G N A L16_1I SP O R T(C L K,C L R N:I N S T D_L O G I C;Z O U T:O U T S T D_L O G I C);E N D X L S I G N A L16_1;A R C H I T E C T U R E o n e O F X L S I G N A L16_1I SS I G N A L C N T:S T D_L O G I C_V E C T O R(3D O W N T O0);S I G N A L Z R E G:S T D_L O G I C;B E G I NP R O C E S S(C L K,C L R N)B E G I NI F(C L R N='0')T H E N C N T<="0000";E L S EI F(C L K'E V E N T A N D C L K='1')T H E NC N T<=C N T+'1';E N D I F;E N D I F;E N D P R O C E S S;P R O C E S S(C N T)B E G I NC A S E C N T I SW H E N"0000"=>Z R E G<='1';W H E N"0001"=>Z R E G<='1';W H E N"0010"=>Z R E G<='1';W H E N"0011"=>Z R E G<='0';W H E N"0100"=>Z R E G<='0';W H E N"0101"=>Z R E G<='1';W H E N"0110"=>Z R E G<='0';W H E N"0111"=>Z R E G<='1';W H E N"1000"=>Z R E G<='0';W H E N"1001"=>Z R E G<='1';W H E N"1010"=>Z R E G<='0';W H E N"1011"=>Z R E G<='0';W H E N"1100"=>Z R E G<='1';W H E N"1101"=>Z R E G<='0';W H E N"1110"=>Z R E G<='1';W H E N"1111"=>Z R E G<='1';W H E N O T H E R S=>Z R E G<='0';E N D C A S E;E N D P R O C E S S;Z O U T<=Z R E G;E N D o n e;2)对其进行波形仿真,如下图:3)将其转换成可调用元件如图:2、信号检测器1)建立工作库文件夹,输入设计项目VHDL代码,如下:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCHK ISPORT(DIN, CLK, CLR : IN STD_LOGIC;ss : OUT STD_LOGIC_VECTOREND SCHK;ARCHITECTURE behav OF SCHK ISSIGNAL Q : INTEGER RANGE 0 TO 5 ;SIGNAL D : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGIND <= "10010" ;PROCESS( CLK, CLR )BEGINIF CLR = '1' THEN Q <= 0 ;ELSIF CLK'EVENT AND CLK='1' THENCASE Q ISWHEN 0=> IF DIN = D(4) THEN Q <= 1 ; ELSE Q <= 0 ; END IF ;WHEN 1=> IF DIN = D(3) THEN Q <= 2 ; ELSE Q <= 1 ; END IF ;WHEN 2=> IF DIN = D(2) THEN Q <= 3 ; ELSE Q <= 1 ; END IF ;WHEN 3=> IF DIN = D(1) THEN Q <= 4 ; ELSE Q <= 0 ; END IF ;WHEN 4=> IF DIN = D(0) THEN Q <= 5 ; ELSE Q <= 1 ; END IF ;WHEN OTHERS => Q <= 0 ;END CASE ;END IF ;END PROCESS ;PROCESS( Q )BEGINIF Q = 5 THEN ss <= "1" ;ELSE ss <= "0" ;END IF ;END PROCESS ;END behav ;3)将其转换成可调用元件如图:3.序列信号检测器顶层文件1)调用序列信号发生器和序列信号检测器元件,建立工作库文件夹,输入设计项目原理图如下图:2)对总体进行波形仿真,如下图:4.管脚邦定六、实验心得1.首先用VHDL语言设计序列信号发生器和序列信号检测器模块。

数字序列发生器实训报告

数字序列发生器实训报告

一、引言数字序列发生器是一种能够产生周期性数字序列的电路,广泛应用于数字通信、数字信号处理等领域。

本次实训旨在通过设计和实现一个数字序列发生器,加深对数字电路设计原理和移位寄存器应用的理解,提高动手实践能力。

二、实训目的1. 理解数字序列发生器的工作原理;2. 掌握移位寄存器的应用方法;3. 提高数字电路设计能力;4. 培养团队合作精神。

三、实训内容1. 数字序列发生器原理分析;2. 电路设计;3. 电路仿真与测试;4. 电路板制作与调试。

四、实训过程1. 数字序列发生器原理分析数字序列发生器由移位寄存器、计数器、逻辑门电路等组成。

其基本原理是:通过移位寄存器存储一个数字序列,在移位脉冲的作用下,将序列逐位右移或左移,从而产生周期性数字序列。

2. 电路设计本次实训设计一个序列循环长度为16的数字序列发生器。

设计步骤如下:(1)确定输入序列:根据实际需求,自定义输入序列,如1010101010101010。

(2)选择移位寄存器:选用4个74LS194移位寄存器,实现并行输入、串行输出。

(3)设计计数器:使用一个74LS161计数器,实现计数功能。

(4)设计逻辑门电路:利用逻辑门电路实现序列的顺序与逆序输出。

(5)绘制电路原理图:根据以上设计,绘制数字序列发生器的电路原理图。

3. 电路仿真与测试(1)电路仿真:使用Multisim软件对电路原理图进行仿真,验证电路功能是否正常。

(2)电路测试:搭建实际电路,进行功能测试,观察输出序列是否符合预期。

4. 电路板制作与调试(1)制作电路板:根据电路原理图,制作电路板。

(2)焊接元件:将74LS194、74LS161、逻辑门电路等元件焊接在电路板上。

(3)调试电路:检查电路连接是否正确,进行功能调试,确保电路工作正常。

五、实训结果与分析1. 仿真结果通过Multisim软件仿真,验证了电路原理的正确性。

在移位脉冲的作用下,输出序列符合预期。

2. 实际电路测试结果搭建实际电路,进行功能测试,输出序列符合预期,验证了电路设计的正确性。

序列检测器实验报告

序列检测器实验报告

序列检测器实验报告序列检测器实验报告概述:序列检测器是一种用于判断输入序列是否符合特定规则的工具。

在本次实验中,我们使用了一种基于深度学习的序列检测器模型,并对其进行了性能评估和优化。

1. 实验背景序列检测器在许多领域中都有着广泛的应用,如自然语言处理、语音识别、图像处理等。

本次实验旨在通过搭建一个序列检测器模型,探索其在序列数据处理中的效果和潜力。

2. 实验设计我们选择了一组包含数字序列的数据集作为实验样本。

数据集中的每个序列都由一系列数字组成,我们的目标是通过训练序列检测器模型,使其能够准确地判断输入序列是否符合某种规则。

3. 模型搭建我们采用了一种基于循环神经网络(RNN)的模型来构建序列检测器。

RNN是一类特殊的神经网络,可以有效地处理序列数据。

我们使用了长短期记忆(LSTM)单元作为RNN的基本构建块,以提高模型对长期依赖关系的建模能力。

4. 实验步骤首先,我们将数据集划分为训练集和测试集,其中训练集用于模型的训练和参数优化,测试集用于评估模型的性能。

接下来,我们对训练集进行预处理,将每个数字序列转换为对应的数值表示。

然后,我们构建了一个包含多个LSTM 层的序列检测器模型,并通过反向传播算法对其进行训练。

5. 实验结果经过多次实验和参数调整,我们得到了一个性能较好的序列检测器模型。

在测试集上的评估结果显示,该模型能够以高准确率和较低的误判率判断输入序列是否符合规则。

此外,我们还对模型的训练时间和资源消耗进行了分析,发现其在处理大规模序列数据时表现出较好的效率。

6. 优化探索为了进一步提升序列检测器的性能,我们尝试了一些优化策略。

首先,我们增加了模型的深度,即增加了LSTM层的数量。

实验结果显示,增加模型深度可以提高模型的准确率,但也会增加训练时间和资源消耗。

其次,我们尝试了不同的优化算法和学习率调度策略,以寻找更好的模型参数配置。

最后,我们还尝试了集成学习的方法,将多个序列检测器模型的预测结果进行集成,以进一步提升模型的性能。

序列检测器设计实践报告

序列检测器设计实践报告

序列检测器设计实践报告一、引言序列检测器是现代通信系统中的关键组件之一,它能够检测出接收到的数据序列是否符合特定规则或模式。

在本次实践中,我们通过使用Verilog HDL 语言和ModelSim 软件,成功设计了一个基于有限状态机的序列检测器。

本报告将详细介绍设计实践的目标、设计思路、实现过程以及结果与分析。

二、设计目标本次实践的设计目标是设计一个序列检测器,其输入为一串二进制数据序列,输出为判断该数据序列是否符合预定的模式。

具体要求如下:1. 输入数据序列长度为N。

2. 设计的状态机模型能够正确判断数据序列是否匹配给定的模式。

3. 能够根据实际需要,设计一个可重用的序列检测器。

三、设计思路为了实现序列检测器,我们采用了有限状态机(Finite State Machine)的设计方法。

基于有限状态机的序列检测器通常包含以下几个关键部分:1. 状态寄存器(State Register):用于保存当前状态的寄存器。

2. 状态转移逻辑(State Transition Logic):用于根据当前状态和输入决定下一状态。

3. 输出逻辑(Output Logic):用于根据当前状态和输入决定输出。

在本次实践中,我们根据识别特定序列的要求设计了一个有限状态机模型。

具体步骤如下:1. 根据给定的数据序列模式,分析出可能的状态数以及状态转移条件。

2. 基于状态数和状态转移条件,设计状态转移表和状态转移条件的真值表。

3. 使用Verilog HDL语言,将状态寄存器、状态转移逻辑和输出逻辑实现为模块。

4. 将各个模块组合在一起,以实现完整的序列检测器。

四、实现过程1. 建立状态转移表和真值表根据给定的数据序列模式,我们设定了以下状态:- `S0`:初始状态;- `S1`:序列开始状态;- `S2`:序列匹配状态;- `S3`:序列不匹配状态。

根据分析,我们得到以下状态转移表和状态转移条件的真值表:状态/输入0 1S0 S1 S0S1 S2 S0S2 S2 S3S3 S1 S0状态/输入输出-S2 1S3 02. 实现Verilog HDL模块我们根据状态转移表和真值表,用Verilog HDL语言实现了状态寄存器、状态转移逻辑和输出逻辑。

序列检测器 实验报告

序列检测器 实验报告

序列检测器实验报告序列检测器是一种常见的信号处理技术,广泛应用于通信、雷达、生物医学和金融等领域。

本文将介绍序列检测器的原理、实验设计和实验结果,并对其应用进行讨论。

一、序列检测器的原理序列检测器是一种用于检测和识别输入信号序列的设备或算法。

它通过对输入信号进行观测和分析,判断信号是否符合特定的模式或规律。

常见的序列检测器包括有限状态机、卷积神经网络和隐马尔可夫模型等。

有限状态机是一种基本的序列检测器,它由一组状态和状态之间的转移规则组成。

在每个时刻,输入信号会触发状态之间的转移,最终达到一个终止状态。

通过定义状态和转移规则,可以实现对输入信号序列的检测和识别。

二、实验设计本实验旨在设计并实现一个简单的序列检测器,用于检测二进制信号序列中是否存在特定的模式。

实验使用MATLAB软件进行仿真,并基于有限状态机的原理进行设计。

1. 实验步骤(1)定义有限状态机的状态和转移规则;(2)生成一组随机的二进制信号序列作为输入;(3)根据状态和转移规则,对输入信号进行观测和分析;(4)判断输入信号是否符合特定的模式,并输出检测结果。

2. 实验参数为了简化实验设计,我们假设输入信号序列中的模式为"110"。

具体的状态和转移规则如下:(1)初始状态为S0;(2)当输入为"1"时,状态转移为S1;(3)当输入为"0"时,状态转移为S0;(4)当当前状态为S1且输入为"0"时,状态转移为S2;(5)当当前状态为S2且输入为"1"时,状态转移为S3;(6)当当前状态为S3且输入为"0"时,状态转移为S0。

三、实验结果经过实验设计和仿真,我们得到了以下实验结果:1. 输入信号序列:1011010110112. 检测结果:存在模式"110"通过对输入信号序列进行观测和分析,我们成功地检测到了模式"110"的存在。

序列信号发生器VHDL设计实验报告

序列信号发生器VHDL设计实验报告

实验三序列信号发生器VHDL设计一、实验目的1、设计一个序列信号发生器,可以在时钟的作用下周期性的产生1110010序列信号2、学习时序电路的设计方法;3、掌握产生周期性信号电路的设计方法;4、掌握同步和异步概念;5、掌握仿真的目的和作用;二、实验环境QuartusII 、PC机、GW-PK2 EDA实验箱三、实验原理给出原理图,说明行为描述方式设计序列信号发生器的原理。

可以产生周期信号的序列信号发生器由计数器和译码器构成。

若想产生1110010序列信号,则需要三位二进制计数器,从000记到110,当时钟是上升沿时,若当前记到110,则将计数清为000,再从头开始,否则计数加1,译码器将每个三位二进制数转换为一位序列信号,计数器和译码器分别由两个进程实现。

四、实验内容及要求利用QuartusII完成序列信号发生器的VHDL设计及仿真测试,给出仿真波形,进行引脚锁定,并在实验箱上进行硬件验证。

五、实验步骤(1)用文本方式输入设计文件并存盘①创建工程,利用“New Preject Wizard”创建此设计工程。

选择菜单“File” “New Preject Wizard”,点击Next,即可弹出工程设置对话框点击此框最上一栏右侧的按钮“…”,设置工程路径,找到文件夹D:\Quartus8\vhdl_code\three,填写工程名和顶层文件名称后,点击Next按钮进行下一步。

②添加设计源程序。

如果已有源程序,可以在此加入到工程中,如果没有点击Next进行下一步。

③选择目标芯片。

首先在“Family”栏选芯片系列,在此选“ACEX1K”系列,选择此系列的具体芯片:EP1K30TC144-3。

④选择仿真器和综合器类型。

点击上图的Next按钮,这时弹出的窗口是选择仿真器和综合器类型的,如果都是选默认的“NONE”,表示都选QuartusII中自带的仿真器和综合器,因此,在此都选默认项“NONE”。

⑤结束设置。

序列检测器实验报告

序列检测器实验报告

#### 实验目的1. 理解序列检测器的工作原理;2. 掌握时序电路的经典设计方法;3. 学习使用Verilog HDL语言进行状态机的设计;4. 验证序列检测器在实际电路中的性能。

#### 实验原理序列检测器是一种同步时序电路,用于检测特定的串行码序列。

它能够识别并响应特定长度的二进制序列,一旦检测到匹配序列,就会产生一个输出信号。

序列检测器在数字通信、数据存储和信号处理等领域有着广泛的应用。

#### 实验器材1. PC机一台;2. FPGA开发板;3. 下载电缆一根;4. 信号发生器;5. 示波器;6. 连接线若干。

#### 实验步骤1. 设计序列检测器:使用Verilog HDL语言设计一个序列检测器,该检测器能够检测到特定的序列,如“101”。

2. 实现状态机:将序列检测器设计为一个状态机,通过定义状态和状态转移图来实现序列检测功能。

3. 代码编写与仿真:在FPGA开发板上编写Verilog代码,并使用仿真软件进行功能验证。

4. 硬件实现:将Verilog代码下载到FPGA开发板上,通过信号发生器生成测试序列,并使用示波器观察输出信号。

5. 性能测试:测试序列检测器在实际电路中的性能,包括检测速度、误检率等。

#### 实验内容1. 状态机设计:根据序列检测器的要求,设计状态转移图,并定义状态编码。

2. Verilog代码编写:使用Verilog HDL语言编写序列检测器的代码,包括模块定义、信号定义、状态定义、状态转移和输出逻辑等。

3. 仿真验证:使用仿真软件对Verilog代码进行功能验证,确保序列检测器能够正确地检测到指定序列。

4. 硬件下载与测试:将Verilog代码下载到FPGA开发板上,生成测试序列,并使用示波器观察输出信号,验证序列检测器的实际性能。

#### 实验结果与分析1. 仿真结果:在仿真软件中,序列检测器能够正确地检测到指定序列“101”,输出信号符合预期。

2. 硬件测试结果:在FPGA开发板上,序列检测器能够正确地检测到指定序列“101”,输出信号与仿真结果一致。

(完整word版)实验四:序列发生器与检测器的设计

(完整word版)实验四:序列发生器与检测器的设计

南昌大学实验报告学生姓名:学号: 专业班级:实验类型:□验证□综合▉设计□创新实验日期:11。

16__ 实验成绩:实验四序列信号发生器与检测器设计一、实验目的1、了解序列检测器的工作原理。

2、掌握时序电路设计中状态机的应用。

3、进一步掌握用VHDL 语言实现复杂时序电路的设计过程。

二、实验内容要求用状态机设计实现串行序列检测器的设计,先设计(可用原理图输入法)序列信号发生器,产生序列:0111010011011010;再设计检测器,若检测到串行序列11010则输出为“1”,否则输出为“0”,并对其进行仿真和硬件测试。

1、序列检测器用于检测一组或多组有二进制码组成的脉冲序列信号。

这种检测要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位都与预置数的对应码相同。

在检测过程中,任何一位不相等都将回到相应状态,重新开始检测。

序列发生器和检测器分别用上升沿和下降沿比较好,否则会在开始多一位或少一位。

2、信号发生器和检测器工程文件要保存在同一文件夹中才能调用;仿真时尽量避开发生信号和检测信号同时跳变,避免毛刺出现.2、在实验箱上验证时,设计的输入可用脉冲键+琴键组合输入任意序列,并用LED灯串行移位显示出来,随后将检测到的11010数目用静态数码管显示出来。

三、实验原理序列检测器的作用就是从一系列的码流中找出用户希望出现的序列,该电路的核心部分就是状态机转换检模块,通过VHDL语言的CASE—WHEN顺序语句判断输入条件来选择某一状态的执行,达到以此判断执行的效果.其中,本实验所设计状态机的状态转换图如下4-3所示。

图4-3 序列信号检测器状态转换图由图可以看出,初始状态为S0,当检测到输入的序列为1时,状态跳转至S1;检测到0时,原地等待;在S1状态下,当检测到0时跳转至S0,检测到1时跳转至S2;在S2状态下,当检测到0时跳转至S3,检测到1时跳转至S2;在S3状态下,当检测到1时跳转至S4,检测到0时仍跳转至S0;在S4状态下,当检测到0时跳转至S5,检测到1时跳转至S2;在S5状态下,当检测到0时跳转至S0,检测到1时跳转至S1;即实现了对序列“11010"的检测。

序列信号发生器和序列信号检测器

序列信号发生器和序列信号检测器

南昌大学实验报告学生姓名:学号:专业班级:实验类型:□验证□综合□设计□创新实验日期:实验成绩:实验三序列信号检测器设计(一)实验目的1.进一步熟悉PH-1V型实验装置和QuartusⅡ软件的使用方法;2.学习有限状态机法进行数字系统设计;3.学习使用原理图输入法进行设计。

(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在PH-1V型EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下:1.先用原理图输入法设计0111010011011010序列信号发生器;2.其最后8BIT数据用LED显示出来;3.再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则输出为“1”,否则输出为“0”;(三)主要仪器设备1.微机 1台2.QuartusII集成开发软件1套3.PH-1V型EDA实验装置1套(四)实验总体设计本实验要求先设计一个信号发生器,采用原理图设计方法,要求产生0111010011011010序列,16位,便可采用74161计数器和74151选择器,161计数输出QD,QC,QB,QA从0000计至1111,然后将161计数输出低三位QC,QB,QA分别接到151的C,B,A端,高位QD用来控制151两片的片选,即两片151分别实现序列的高八位和低八位的输出。

最后将二片151的输出相或便可得到最后要产生的序列。

序列检测器即为一个状态机,首先画出状态转移图,根据状态转移图设计出序列检测器,当检测到预置的序列,则RESULT输出1,否则输出0 (五)实验重难点设计1. 用原理输入法设计序列信号发生器(1)打开Quartus II软件,进入编辑环境。

(2)创建新的原理图BDF文件,命名为FASHENGQI,根据其总体设计思路设计出如下原理图:1. 用文本输入法设计序列信号检测(1)打开Quartus II软件,进入编辑环境。

(2)创建新的文本文件VHDL,命名为ztj,根据状态机总体设计思路设计出如(3)下语句程序:library ieee;use ieee.std_logic_1164.all;entity ztj isport (clk,reset: in std_logic;x: in std_logic;result: out std_logic);end ztj;architecture behav of ztj istype m_state is(s0,s1,s2,s3,s4,s5);signal present_state,next_state:m_state;signal temp:std_logic;beginprocess(reset,clk)beginif reset ='1' thenpresent_state<=s0;elsif clk='1' and clk'event thenresult<=temp;present_state<=next_state;end if;end process;(4)经编译成功后,点击File---Creat/Update---Creat Simbol Files For Current File 后生成STAKE模块如下:(1)打开Quartus II软件,进入编辑环境。

序列检测器的设计实验报告

序列检测器的设计实验报告

序列检测器的设计实验报告一、实验目的本次实验的目的是设计一个能够检测特定序列的数字逻辑电路,即序列检测器。

通过设计和实现这个电路,深入理解数字电路的基本原理和设计方法,掌握状态机的概念和应用,提高逻辑分析和电路设计的能力。

二、实验原理序列检测器是一种能够在输入数据流中检测特定序列的电路。

它通常由状态机实现,状态机根据输入的变化在不同的状态之间转移,并在特定的状态下输出检测结果。

以检测序列“1011”为例,我们可以定义以下几个状态:状态 S0:初始状态,等待输入。

状态 S1:接收到“1”,等待下一个输入。

状态 S2:接收到“10”,等待下一个输入。

状态 S3:接收到“101”,等待下一个输入。

状态 S4:接收到“1011”,输出检测成功信号。

根据状态转移和输出的规则,可以画出状态转移图,并根据状态转移图设计相应的逻辑电路。

三、实验设备与器材1、数字电路实验箱2、逻辑门芯片(如与门、或门、非门等)3、示波器4、电源四、实验步骤1、分析设计要求,确定状态转移和输出规则,画出状态转移图。

2、根据状态转移图,列出状态转换表,确定每个状态下的输入和输出。

3、使用卡诺图或其他逻辑化简方法,对状态转换表进行化简,得到最简的逻辑表达式。

4、根据逻辑表达式,选择合适的逻辑门芯片,在实验箱上搭建电路。

5、连接电源和示波器,对电路进行测试。

输入不同的序列,观察输出是否符合预期。

五、实验电路设计以下是检测序列“1011”的逻辑电路设计:状态变量定义:设当前状态为 Q1Q0,其中 Q1 为高位,Q0 为低位。

状态转移方程:Q1(n+1) = Q1Q0 + XQ1' (其中 X 为输入)Q0(n+1) = XQ0' + Q1Q0输出方程:Y = Q1Q0X根据上述方程,使用与门、或门和非门搭建电路。

六、实验结果与分析在实验中,输入了不同的序列,包括“1011”以及其他随机序列。

通过示波器观察输出,当输入序列为“1011”时,输出为高电平,表示检测成功;当输入其他序列时,输出为低电平,表示未检测到目标序列。

序列信号发生器和检测器

序列信号发生器和检测器

南昌大学实验报告学生姓名:赖硕秋学号:6100208060 专业班级:电子081实验类型:□验证□综合■设计□创新实验日期:2010.11.12 实验成绩:实验三序列信号发生器与检测器设计一、实验目的(1)掌握产生特定序列信号的方法;(2)学会用状态机方法来实现VHDL编程设计;(3)进一步巩固分层设计的方法.二、实验内容与要求用状态机设计实现串行序列检测器,先设计序列信号发生器,产生序列“0111010011011011010”;再设计检测器,若检测到串行序列11010则输出计数器计入个数,并对其进行仿真和硬件测试。

三、设计原理本实验中,采用分层设计的思想,底层分别用VHDL文本来描述序列发生器和序列检测器,顶层用原理图法连线好元件化的器件。

对于序列发生器(特定序列)设计比较多样,可以采用并行case语句来实现产生特定的周期性序列;序列检测器采用状态机的设计方法,在不同状态下检测特定的序列信号,最后通过一个计数器计入所检测到的序列个数,并且通过并行移位来显示有限个产生信号。

根据所检测的序列“11010”,可以得到以下的状态转移图:四、实验程序方法一:VHDL文本法,不分层(独立编写)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY XULIE ISPORT(CLK,CLR,RESET:IN STD_LOGIC;M:OUT STD_LOGIC;COUT1,COUT2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END ENTITY;ARCHITECTURE ONE OF XULIE ISTYPE M_STATE IS(ST0,ST1,ST2,ST3,ST4);SIGNAL STA:M_STATE;SIGNAL SHUZI:STD_LOGIC_VECTOR(4 DOWNTO 0);SIGNAL A:STD_LOGIC;SIGNAL CC:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINSHUZI<="11010";PROCESS(CLK,CLR,RESET,A,CC)V ARIABLE N:INTEGER RANGE 16 DOWNTO 1;BEGINIF RESET='1' THEN N:=1; --序列发生器"0111010011011010"ELSIF CLK'EVENT AND CLK='1'THEN CASE N ISWHEN 1=>A<='0';N:=N+1;WHEN 2=>A<='1';N:=N+1;WHEN 3=>A<='1';N:=N+1;WHEN 4=>A<='1';N:=N+1;WHEN 5=>A<='0';N:=N+1;WHEN 6=>A<='1';N:=N+1;WHEN 7=>A<='0';N:=N+1;WHEN 8=>A<='0';N:=N+1;WHEN 9=>A<='1';N:=N+1;WHEN 10=>A<='1';N:=N+1;WHEN 11=>A<='0';N:=N+1;WHEN 12=>A<='1';N:=N+1;WHEN 13=>A<='1';N:=N+1;WHEN 14=>A<='0';N:=N+1;WHEN 15=>A<='1';N:=N+1;WHEN 16=>A<='0';N:=1;WHEN OTHERS=>NULL;END CASE;END IF;IF CLR='1' THEN STA<=ST0;CC<=(OTHERS=>'0'); --序列检测器"11010"ELSIF CLK'EVENT AND CLK='0' THENCASE STA ISWHEN ST0=> IF A=SHUZI(4) THEN STA<=ST1;ELSE STA<=ST0;END IF;WHEN ST1=> IF A=SHUZI(3) THEN STA<=ST2;ELSE STA<=ST0;END IF;WHEN ST2=> IF A=SHUZI(2) THEN STA<=ST3;ELSE STA<=ST2;END IF;WHEN ST3=> IF A=SHUZI(1) THEN STA<=ST4;ELSE STA<=ST0;END IF;WHEN ST4=> IF A=SHUZI(0) THEN STA<=ST0;IF CC(3 DOWNTO 0)=9 THEN CC<=CC+7;ELSE CC<=CC+1;END IF;ELSE STA<=ST2;END IF;END CASE;END IF;M<=A;COUT1<=CC(3 DOWNTO 0);COUT2<=CC(7 DOWNTO 4);END PROCESS;END ARCHITECTURE;方法二:采用底层+顶层的设计方法,先用VHDL设计好发生器和检测器,顶层用原理图连线。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

南昌大学实验报告姓名: 学号:6100210173 专业班级:中兴通信101实验类型:验证□综合■设计□创新□实验日期:2012、11、16实验四序列信号发生器与检测器设计一、实验目的1、.学习VHDL文本输入法2、学习有限状态机的设计3、设计序列信号发生器和检测器二.实验内容与要求1. 设计序列发生器,完成序列为0111010011011010的序列生成器2.用有限状态机设计序列检测器,实现串行序列11010的检测器3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0”4. 对检测到的次数计数三.设计思路1.设计分频器因为最终要把待检测序列的检测次数在数码管上显示出,所以必须设计一个分频器,将起始频率作为数码管的扫描频率,而将分频后的频率作为序列发生器的移位频率,所以在程序中设置10KHZ进行分频分成1HZ脉冲(10KHZ的扫描频率是为了让数码管的动态显示更加清晰)2.设计序列发生器在这次的设计序列发生器时没有用状态转移的方法来来形成一个16位的序列,而是通过直接设计一串16位的序列,通过对最高位的输出与并置来形成一串循环的16位序列,这样设计简单方便,易于操作与控制,也减少了在状态转移产生的误差,其主要的核心程序为:architecture bhv of p2 issignal bs: std_logic_vector(15 downto 0):="0111010011011010";beginxlout<=bs(15);process (clk1hz)beginif (clk1hz'event and clk1hz='1') thenbs<= bs(14 downto 0)&bs(15);先将序列最高位输出至序列检测器中,然后在一个脉冲作用下,将此时最高位变成最低位,其余14位不变,使序列循环移动,最终形成一个16位循环序列。

3.设计序列检测器基本思想是采用有限状态机设计,通过状态的转移来实现被检测序列的的检测,首先设定6个状态S0 S1 S2 S3 S4 S5 通过这6个状态的转移情况来检测出11010这个序列。

但因为序列发生器发生的序列不断循环过程中也会出现一些类似11010的特殊序列,则在状态转移中则需要通过一些状态的变换来检测出里面包含的11010序列,如下文所讲的两种特殊情形便是我们序列发生器产生序列中出现的特殊序列:(1)在序列发生器产生序列不断循环过程中出现该序列1110100,此序列中也有一个要检测到的序列但在状态转移过程需要注意:1 1 1 0 1 0 0 S0 S1 S2 S2 S3 S4 S5 S0即当S2状态转移到S3状态时,如果此时序列值为1,则只需转移到状态本身S2而不需要转移至S0,这样即可节约转移时间也可提高检测效率,之后的状态按正常转移并且最后从状态S5回到S0。

(2)在序列发生器产生序列不断循环过程中出现该序列110110100,此序列中也有一个要检测到的序列但是在状态团转移时同样需要注意:1 1 0 1 1 0 1 0 0 S0 S1 S2 S3 S4 S2 S3 S4 S5 S0 即当状态S4转移到S5状态时,如果此时序列值为1,则需要将状态转移至S2状态,从S2状态重新开始检测,而不需要转移至S0,之后其它状态正常转移并且最后由S5状态转移至S0状态。

从上面的流程图可以看出所有的回路在检测完一次序列后在下一次没有该序列时会流向初始状态S0,而当两个或多个被检测序列连续在一起时,状态S5会跳过状态S0直接转移至状态S1完成序列的的检测,直到检测完后再次回到初始状态S0,并且为了避免上面所讲的两种特殊序列在状态转移都进行了改进,在状态S2至状态S3时若序列值为1则返回自身,消除第一种特殊情况下序列的检测问题,在状态S4至状态S5时若序列值为1返回到状态S2,消除第二种特殊情况对1101016序列的检测。

3、此外在设计序列检测器时,为了使实验现象更明显,更好的观测序列的的移动与检测情况我使用了5个LED 分别来显示最新产生的五个序列位,分别输出到端口ledag(4),ledag(3),ledag(2),ledag(1),ledag(0)实现程序语句如下if(clk1hz'event and clk1hz='1') thenledag(4)<=ledag(3);---移位输出显示在led 上以便观看S1S0S4S3S20 110 111S5ledag(3)<=ledag(2); ledag(2)<=ledag(1); ledag(1)<=ledag(0);ledag(0)<=xlout;--将最近生产的序列赋给最前端的ledge(0)位 end if; 4、设计计数器模块因为序列发生器产生的序列不断地循环,待检测序列11010的个数很多,则在程序中设计计数模块,当序列检测器模块检测完一次待检测序列11010时产生一次下降沿,而计数器模块通过该下降沿进行计数自动加一,因为用两个数码管来表示待检测序列的个数,所以计数模块取上限为99即用数码管译码模块将计数值在数码管表示出来时最多能计99检测序列之后清零重新计数,这样设计之后比通过LED 的亮灭来统计被检测序列的个数更加具体直观。

四、实验程序(程序来源于自己编写) --总的设计模块如图所示:--分频器模块library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity p1 isrt(clk:in std_logic;clk1hz:out std_logic --分频的频率1HZ 的输出端口 ); end p1;architecture behave of p1 isSignal Clk_Count1 : std_logic_vector(13 downto 0); beginprocess(clk) --将10KHZ 的频率分频成1HZ beginif(Clk'event and Clk='1') then if(Clk_Count1<10000) thenClk_Count1<=Clk_Count1+1; --在小于10000时,自动加1 elseClk_Count1<="00000000000001"; --超过10000后返回1分频模块序列产生模块序列检测模块100计数模块扫描译码模块流水灯(显示序列移动)模块end if;end if;end process;Clk1Hz<=Clk_Count1(13); --将Clk_Count1的第14位赋给Clk1Hzend behave;--序列发生器模块library ieee;use ieee.std_logic_1164.all;entity p2 isport(clk1hz : in std_logic; --定义输入端口此时频率为1HZxlout : out std_logic --输出序列发生器产生的序列端口);end entity;architecture bhv of p2 issignal bs: std_logic_vector(15 downto 0):="0111010011011010";beginxlout<=bs(15); --将bs的第16位值赋给xloutprocess (clk1hz)beginif (clk1hz'event and clk1hz='1') thenbs<= bs(14 downto 0)&bs(15); --前15位保持不变,将bs的第16位并到最前来形成序列的循环end if;end process;end bhv;--序列检测器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity p3 isport( clr :in std_logic; --清零端口clk1hz:in std_logic; --输入信号频率xlout : in std_logic; --输入序列result: out std_logic);end entity;architecture bhv of p3 istype state_value is(s0,s1,s2,s3,s4,s5); --定义6个状态signal state: state_value;signal dclk: std_logic;beginresult<=dclk;process (clr,clk1hz)beginif (clr='0') then state<=s0; dclk<='0'; --检测输入序列“11010”由左开始elsif(clk1hz'event and clk1hz='0') thencase state iswhen s0=> if xlout='1' then --序列值为1S0转态S1,若序列值为0,返回自身state<=s1;else state<=s0;end if;when s1=> if xlout='1' thenstate<=s2;else state<=s0;end if;when s2=> if xlout='0' then --序列值为1,S2转向自身,序列值为0,转向S3 state<=s3;else state<=s2;end if;when s3=> if xlout='1' thenstate<=s4;else state<=s0;end if;when s4=> if xlout='0' then --序列值为0,S4转向S5,输出端为1state<=s5; dclk<='1';else state<=s2; --若此时序列值为1,S4转向S2end if;when s5=> if xlout='0' then --序列值1,S5转移至S1,若为0,转向S0state<=s0;else state<=s1;end if;dclk<='0';when others => state<=s0; --其它状态都将返回初始状态end case;end if;end process;end bhv;--100计数模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity p5 isport( result:in std_logic; --前面序列检测模块中检测到序列后产生的跳变信号d6,d7: out std_logic_vector(3 downto 0) --定义十位个位数值);end entity;architecture behave of p5 issignal cnt0,cnt1:std_logic_vector(3 downto 0):="0000"; --初始化beginprocess (result)beginif (result'event and result='0') thenif (cnt0="1001" and cnt1="1001") then --设置计数上限为99cnt0<="0000"; cnt1<="0000";elsif (cnt0="1001") then --BCD码的调整cnt0<="0000";cnt1<=cnt1+1; --接受跳变信号后自动加1计数else cnt0<=cnt0+1;end if;end if;end process;d6<=cnt1;d7<=cnt0;end behave;--数码管译码模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity p6 isport(clk:in std_logic; --扫描频率10KHZ的输入端口sel0,sel1,sel2:buffer std_logic; --数码管的位选信号sg:out std_logic_vector(6 downto 0); --数码管的段选sel:out std_logic_vector(7 downto 0);d6,d7:in std_logic_vector(3 downto 0) --计数模块中个位十位的数值);end p6;architecture behave of p6 issignal cnt:std_logic_vector(1 downto 0);signal A:std_logic_vector(3 downto 0);beginprocess(clk)beginif clk'event and clk='1' thenif cnt<"01" then cnt<=cnt+1;else cnt<=(others=>'0');end if;end if;sel(0)<=sel0;sel(1)<=sel1;sel(2)<=sel2;case cnt iswhen "00"=>sel2<='1';sel1<='1';sel0<='1';A<=d7; --选择数码管的位置when "01"=>sel2<='1';sel1<='1';sel0<='0';A<=d6;when others=>null;end case;end process;process(A)begincase A iswhen "0000" =>sg<="0111111"; --数码管显示值为0时的译码值when "0001" =>sg<="0000110";when "0010"=>sg<="1011011";when "0011"=>sg<="1001111";when "0100"=>sg<="1100110";when "0101"=>sg<="1101101";when "0110"=>sg<="1111101";when "0111"=>sg<="0000111";when "1000"=>sg<="1111111";when "1001"=>sg<="1101111";when others=>null;end case;end process;end behave;--显示序列移动模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity p4 isport(clk1hz:in std_logic; --分频后的频率输入端口xlout:in std_logic; --序列发生器产生的序列ledag :buffer std_logic_vector(4 downto 0) --5位序列值的输出口);end p4;architecture behave of p4 isbeginprocess(clk1hz)beginif(clk1hz'event and clk1hz='1') thenledag(4)<=ledag(3); --序列值向左移动ledag(3)<=ledag(2);ledag(2)<=ledag(1);ledag(1)<=ledag(0);ledag(0)<=xlout; --将最近生产的序列赋给最前端的ledge(0)位end if;end process;end behave;四.实验步骤1.建立工程项目2. 在VHDL编辑窗口下写好程序,并保存3、进行全编译,没有错误。

相关文档
最新文档