四人智力竞赛抢答器实验报告
四人抢答器设计报告
四人抢答器设计报告一、设计任务及要求1、设计用于竞赛的四人抢答器(1)有多路抢答器,台数为四;(2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3)能显示超前抢答台号并显示犯规报警;2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响起,直至该路按键放松,显示牌显示该路抢答台号;3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路;4、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。
二、四人抢答器框图及设计说明系统复位后,反馈信号为一个高电平,K1、K2、K3、K4输入有效。
当抢答开始后,在第一位按键后,保持电路低电平,同时送显示电路,让其保存按键的台号并输出,同时反馈给抢答台,使所有抢答台输入无效,计时电路停止;当在规定的时间内无人抢答时,倒计时电路输出超时信号;当主持人开始说话未说完有人抢先按键时,显示犯规信号。
当选手回答正确时加分,回答错误时减分。
由主持人控制加减分数。
三、设计思路:根据设计框图和设计要求,本次实验可以采用模块化设计方法来实现智力竞赛四人抢答器。
将抢答器划分为抢答鉴别保持模块,倒计时模块,记分模块和判断显示模块。
再利用元件例化语句将这四个模块组成总的抢答器的设计电路。
选用模式五进行程序的下载。
四、VHDL语言设计与分析1、鉴别模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jianbie isport(nu1,nu2,nu3,nu4:in std_logic;clk,en,rst:in std_logic;warn:out std_logic;back:buffer std_logic;s:out std_logic_vector(3 downto 0));end jianbie;architecture jianbiebeh of jianbie issignal num,warnd:std_logic;signal cnt:std_logic_vector(2 downto 0);beginnum<=nu1 or nu2 or nu3 or nu4;p1:process(rst, nu1,nu2,nu3,nu4,back) --判断抢答信号beginif rst='1' then back<='1';s<="0000";elsif back='1' thenif nu1='1' then s<="0001";back<='0'; --一号台抢答,输出S为1 elsif nu2='1' then s<="0010";back<='0'; --二号台抢答,输出S为2elsif nu3='1' then s<="0011";back<='0'; --三号台抢答,输出S为3 elsif nu4='1' then s<="0100";back<='0'; --四号台抢答,输出S为4 else back<='1'; s<="0000"; --无人抢答,输出S为0end if ;end if;end process p1;p2:process(clk,en,back,rst,cnt)beginif rst='1' then cnt<="000";warnd<='0';elsif clk'event and clk='1' thenif en='0' and back='0' thenif cnt<"111" then warnd<=not warnd; cnt<=cnt+1;else warnd<='0';end if; end if;end if;end process p2;warn<=warnd;end jianbiebeh;鉴别保持模块由两个进程组成,进程一主要用于鉴别强大信号,进程二用于鉴别是否为超前抢答,若是超前抢答,则输出报警信号。
电子技术应用实验4人智力竞赛抢答器实验报告
电子技术应用实验报告(八)学生姓名:沈嘉俊学号:2012042020018一.实验项目名称:4人智力竞赛用抢答器实验内容:设计一个4人智力竞赛用抢答器电路具体要求为:1.每个参赛者控制一个按钮,用于按动按钮发出抢答信号;2.竞赛主持人另有一个按钮,用于将电路复位;3.抢答器具有锁存功能,竞赛开始后,先按动按钮者将对应的一个led灯点亮,伺候其他三人再按动按钮对电路不起作用,知道主持人将电路复位为止。
在上述功能完成后,可增加以下功能:(1)用LED数码管显示抢答成功选手编号。
(2)加入倒计时功能。
当主持人复位电路开始抢答时,自动启动60秒倒计时,时间到后数码管显示00并报警,直到主持人将电路复位。
二.实验内容及要求:设计满足要求的电路,并在multisim中进行电路连接,仿真和调试。
三.电路模块及其仿真:4人智力竞赛抢答电路分为两个模块,一个是抢答电路,一个是倒计时电路。
1.倒计时电路:主要通过555构成的多谐振荡器产生1HZ的脉冲作为时钟源,利用两个74LS169(可逆计数器)进行减法计数,利用与非门分别实现六进制和十进制的减法计数并进行级联从而实现60秒的倒计时功能,并且当主持人按下复位键时,利用与非门将两个计数器的加载端激活,使得输出为59(提前在预设段设好的),其电路单元为:利用与非门,计数器U14当输入为0000时,利用预设端将输出置为1001(即9),从而实现从9到0减法计数;而将计数器U18在输入为0000时,将输出置为0101(即5),从而实现从5到0的减法计数。
2.抢答电路:主要完成的功能是实现4路选手抢答并进行锁存,同时有相应发光二极管点亮和数码显示。
主要利用74LS373(八路锁存器)和74LS148(优先编码器)实现。
通过锁存器接收到最先按下按键的选手(假设按下后产生高电平),并在其输出端利用或非门使其输出和主持人的控制信号经过或门接到74LS373使能端,从而实现自锁且主持人可以进行复位。
智能抢答器实验报告
电子科技大学实验报告学生姓名:学号:指导教师:日期:一、实验室名称:二、实验项目名称:智能抢答器设计三、实验原理:4人抢答器可同时供4位选手参加比赛,分别用player1 player2 player3 player4表示,节目主持人设置一个复位按键clear,用于控制系统的清零.抢答器具有锁存和显示功能,能够显示哪位选手获得抢答,并能显示具体选手的号码,同时屏蔽别的选手,再按选择信号.在选手回答问题时在规定时间到达发出报警主持人按键清零,一次抢答结束.在本设计中,共4位选手,即4个输入信号,考虑到优先原则,所以引用一个状态变量,当这个标志为”1”的时候,说明有选手已经抢答,则对其他选手输入位信号进行屏蔽,然后锁存这个选手的编号并显示.采用两个数码管显示,计数采用BCD码输出.四、实验目的:▪学习QUARTUSⅡ软件的使用方法▪学习VHDL或VerilogHDL语言▪学会用VHDL或VerilogHDL语言进行简单的编程五、实验内容:完成智能抢答器实验程序的编写,并进行仿真后分析验证六、实验器材(设备、元器件):PC机,QUARTUSⅡ软件七、实验步骤:▪熟悉QUARTUSⅡ软件(以简单实例)▪用VHDL开发FPGA的完整流程.继续掌握QUARTUSⅡ软件使用方法.▪设计出抢答器程序进行调试,▪用QUARTUSⅡ进行编译,综合及仿真.八、实验数据及结果分析:设计程序如下:module qdq(player1,player2,player3,player4,res,clk,q1,q2,q3,q4,row,ra,Q1,beep);input player1,player2,player3,player4;input res,clk;output[6:0]row,ra;output[3:0]Q1;output q1,q2,q3,q4,beep;reg q1,q2,q3,q4,beep;reg[6:0]row,ra;reg clk1;reg[3:0]Q1;reg[3:0]y;reg[6:0]i;always @(res||q1||q2||q3||q4)beginif(!res)begin q1<=0;q2<=0;q3<=0;q4<=0; // 裁判清零endelsebeginif(q1||q2||q3||q4)begin q1<=q1;q2<=q2;q3<=q3;q4<=q4;endelsebeginif(player1) // 选手开始抢答begin q1<=1;row<=7'b0111111; // 抢答后显示先抢答的选手编号endelse if(player2)begin q2<=1;row<=7'b0000110;endelse if(player3)begin q3<=1;row<=7'b1011011;endelse if(player4)begin q4<=1;row<=7'b1001111;endendendendalways@( posedge clk) // 10GHz ~clkbegincase(Q1)4'd0: ra<=7'b0111111; // 选手抢答后,数码管倒计时显示译码模块4'd1: ra<=7'b0000110;4'd2: ra<=7'b1011011;4'd3: ra<=7'b1001111;4'd4: ra<=7'b1100110;4'd5: ra<=7'b1101101;4'd6: ra<=7'b1111101;4'd7: ra<=7'b0000111;4'd8: ra<=7'b1111111;4'd9: ra<=7'b1100111;endcaseendalways@(posedge clk) // 分频10ns~clk1beginif(i<50) i<=i+1;else i<=0;clk1<=~clk1;endalways@(posedge clk1 ) // 倒计时9~0控制模块beginif(res&&(player1||player2||player3||player4))beginif(Q1>4'b0000) Q1<=Q1-1;else Q1<=4'b1001;endendalways@(posedge clk1 ) // 报警模块beginif(res&&(player1||player2||player3||player4))beginif(y>4'b1011||y<4'b1111)beginbeep<=1;y<=y+1;endelse beep<=0;endelsebeginy<=0;beep<=0;endendendmodule仿真波形图:实验波形仿真结果与设计要实现的功能完全一致。
电子技术自主设计实验报告 ——四人抢答器
自主设计实验5.6智力竞赛抢答器设计1.实验目的1)熟悉74LS174 D触发器的管脚排列及功能。
2)熟悉74LS00、74LS20与非门的管脚排列及功能。
3)设计相应的电路图,标注元器件参数,并进行实验。
2.总体设计方案或技术路线利用74LS175 D触发器设计供四人用的智力竞赛抢答器,用以判断抢答优先权。
抢答开始之前,由主持人按下复位开关清除信号,所有的指示灯和数码管均熄灭。
当主持人宣布“开始抢答”后,首先做出判断的参赛者立即按下按钮,对应的指示灯点亮,同时数码管显示该选手的序号,而其余三个参赛者的按钮将不起作用,信号也不再被输出,直到支持人再次清除信号为止。
数码管显示要求利用试验箱上的CD4511数码管实现。
但由于没有买到74LS175 D 触发器,故选择了74LS174 D触发器为六上升沿D触发器来替代作为实验中的主要器件。
74LS174 D触发器输出仅有Q没有Q__,为实现功能通过74LS04非门或74LS00与非门来实现。
3.实验电路图(1)芯片管脚排列图74LS174(2)初步设计电路(3)改进后电路完全用芯片连接4. 仪器设备名称、型号1)直流稳压电源 1台2)数字万用表 1只3)EEL-69模拟、数字电子技术实验箱 1台74LS174D触发器,74LS00、74LS20与非门,74LS04非门,CD4511数码管,电阻,电容,导线若干。
5.理论分析或仿真分析结果(1)理论分析清零端R__D和时钟脉冲C是六个D触发器共用的。
抢答前先清零,1Q-4Q均为“0”,相应的发光二极管LED1-LED4都不亮;1Q__-4Q__均为“1”,与非门G1输出为“0”,扬声器不响。
同时G2输出为“1”,将G3打开,时钟脉冲C可以经过G3进入D触发器的C端。
此时,由于S1-S4均未按下,1D-4D均为“0”,所以触发器的状态不变。
抢答开始,若S1首先被按下,1D和1Q均变为“1”,相应的发光二极管LED1亮;1Q__变为“0”,G1的输出为“1”,扬声器发响。
四人智力竞赛抢答器实验报告
四人智力竞赛抢答器设计一台可供4名选手参加比赛的智力竞赛抢答器。
用数字显示抢答倒计 时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。
选手抢答时,数 码显示选手组号,同时蜂鸣器响1秒,倒计时停止。
(1) 4名选手编号为:1, 2, 3, 4。
各有一个抢答按钮,按钮的编号与选手 的编号对应,也分别为1,2,3,4。
(2) 给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯) 和抢答的开始。
(3) 抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按 钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响 提示,封锁输入编码电路,禁止其他选手抢答。
抢答选手的编号一直保持到主持 人将系统清零为止。
(4) 抢答器具有定时(9秒)抢答的功能。
当主持人按下开始按钮后,定时 器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器 响,音响持续1秒。
参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声 器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号, 定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
(5) 如果抢答定时已到,却没有选手抢答时,本次抢答无效。
系统扬声器报 警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器 显示0。
⑹ 可用石英晶体振荡器或者 555定时器产生频率为1H Z 的脉冲信号,作 为定时计数器的CP 信号。
数字抢答器总体方框图如图11、1所示为总体方框图。
其工作原理为:接通电源后,主持人将开关 拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;:掙人住制绷开关电S4场冲U 覷生戟理电■i:1■i ■i J♦・图11、1数字抢答器框图主持人将开关置开始"状态,宣布"开始"抢答器工作。
定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。
四人抢答器总结
四人抢答器总结第一篇:四人抢答器总结四人抢答器实习报告电子101 史军军 104461191、实训内容(摘自《电子设计实训教程》何宝祥主编)(1)听取用电安全知识、焊接技术和PROTEL应用技术等理论讲座。
(2)理解四人抢答器原理,对四人抢答器线路的工作原理有一定而了解,对电子焊接机原理和电子元器件有一个生动的感性认识。
(3)应用PROTEL软件制作电路原理图和印刷线路图。
要求通过锻炼,最终能比较熟练地掌握PROTEL软件。
(4)对于常见的一些线路故障,能够寻找原因并加以排除。
(5)写出具有个性化的总结。
2、四人抢答器原理图3、主要元器件及原理简介主要元器件:74LS20(四端输入与非门),74LS04(非门),三极管,二极管,发光二级管,蜂鸣器,电阻若干,开关等等。
原理简介:利用74LS20四端输入与非门,以及非门当一个抢答按钮按下以后,对应的四端输入与非门输出低电平,再经过非门后变为高电平,则对应的发光二级管发光,蜂鸣器响,该低电平经过非门后反馈给其它三个四端输入与非门,根据有0出1,其它抢答按钮失效。
此时按下复位按钮,可以进行新一轮的抢答。
4、四人抢答器PCB图5、实训安排本次实训共三周。
第一周学习PROTEL制图软件;第二周学习焊接以及对前一周的PROTEL和焊接学习的验收考试;第三周则是实际制版和焊接,然后验收成品。
6、实训过程(1)第一周,刚接触PROTEL,说实话确实是挺纠结的,因为是英文版的,虽然经过汉化,但是很多东西还是英文的,而且又是刚接触到的一个新知识,所以学起来感觉很困难。
还好在老师的精心讲解下,我又自己从网上下了一套PROTEL的学习教程,以及在同学们大家相互探讨,相互帮助,觉得自己对这个软件掌握的越来越好了。
(2)第二周,是焊接的学习,由于上学期在科协焊过不少东西,所以对于焊接,还是比较得心应手的。
周四上午,老师带领我们在文约楼5楼。
进行了PCB制版学习,我们先看了两遍视屏教程,然后老师在一旁知道我们进行实际操作,整个过程大约花了50分钟。
四人竞赛抢答器实验报告
数电课程设计实验报告设计课题:四人抢答器学院:信息工程学院专业: 通信工程班级:学号:姓名:指导老师:四人抢答器实验报告一. 实验目的1.学习并掌握抢答器的工作原理及其设计方法2.熟悉各个芯片的功能及其各个管脚的接法。
3.灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。
二. 设计任务与要求1.设计任务设计一台可供4名选手参加比赛的竞赛抢答器。
选手抢答时, 数码显示选手组号。
2.设计要求:抢答器的基本功能:1.设计一个智力抢答器, 可同时供四名选手或四个代表队参加比赛, 编号为一, 二, 三, 四, 各用一个抢答按钮, 分别用四个按钮S0——S3表示。
2.给节目主持人设置一个控制开关, 用来控制系统的清零(编号显示数码管清零)。
3.抢答器具有数据锁存和显示的功能, 抢答开始后, 若有选手按动抢答按钮, 编号立即锁存, 并分别让四盏LED亮来表示, 此外, 要封锁输入电路, 实现优先锁存, 禁止其他选手抢答, 优先抢答选手的编号一直保持到主持人将系统清零为止。
简言之, 有选手按下时, 显示不同选手的灯会亮。
同时, 其他人再按下时电路不做任何处理。
也就是说, 如果有选手按下以后, 别的选手再按的话电路不会显示所代表的的LED灯亮。
4.可用555定时器产生频率为1kHZ的脉冲信号, 作为触发器的CP信号。
三. 四人竞赛抢答器电路原理及设计系统原理框图:1.设计方案抢答器具有锁存、响铃、显示。
即当抢答开始后, 选手抢答按动按钮, 锁存器锁存相应的选手编码, 同时用代表不同选手的LED显示出来, 蜂鸣器响铃。
接通电源后, 主持人将开关拨到“清除”状态, , 编号显示器为0, 此时才可以再次抢答。
选手在抢答时, 抢答器完成:编号锁存、编号灯显示, 响铃。
2.单元电路设计及元器件选择(1)抢答电路电路如图2所示。
该电路完成两个功能: 一是分辨出选手按键的先后, 并锁定74LS175的功能真值表即优先抢答者的编号, 同时代表不同选手的LED灯电路亮, 蜂鸣器鸣叫;二是要使其他选手随后的按键操作无效。
四人抢答器实验报告
四人抢答器实验报告一、引言最近,我们进行了一个有趣而富有挑战性的实验,使用了四人抢答器。
这个实验旨在探索四人协作的能力与反应速度,在不同的知识领域中,通过竞争的方式进行抢答,以了解团队合作对于个体表现的影响。
二、实验设计与方法1. 实验设备为了完成实验,我们使用了一套四人抢答器设备,其中包括一套用于显示题目的屏幕和四个抢答按键。
这个设备使用了先进的无线技术,确保了抢答的公平性。
2. 实验过程我们请来了四名志愿者参加实验。
在每一轮实验中,屏幕会显示出一个问题,并且四个抢答按键会亮起。
当志愿者认为自己知道答案时,就会迅速按下抢答按键。
系统会记录下按键的顺序,并将正确答案显示在屏幕上。
实验设置了多个不同领域的知识题目,包括科学、历史、文学等。
题目的难度也从简单到困难不一。
3. 数据分析方法我们对实验数据进行了统计和分析。
首先,我们计算了每次抢答中四个志愿者的平均反应时间,以及正确率。
然后,我们比较了不同题目领域的表现差异,并探讨了团队合作对于个体表现的影响。
三、实验结果通过对实验数据的分析,我们得到了以下结果:1. 平均反应时间我们发现,在整个实验过程中,志愿者们的平均反应时间逐渐缩短。
这表明他们逐渐适应了整个抢答过程,并提高了自己的反应速度。
2. 正确率志愿者们在不同题目领域中的正确率存在一定的差异。
在科学领域和历史领域,他们的正确率较高;而在文学领域和艺术领域,他们的正确率相对较低。
这可能与个体的知识背景和兴趣相关。
3. 团队合作对个体表现的影响我们观察到,在抢答过程中,团队合作起到了积极的促进作用。
当一个志愿者抢答错误时,其他志愿者有时会能够迅速补充正确答案,从而提高整个团队的正确率。
这显示出团队合作对于个体表现的积极影响。
四、讨论与启示通过这个实验,我们得出了以下结论与启示:1. 团队合作对于个体表现有积极影响:团队合作能够帮助个体更好地应对挑战,提高正确率,并缩短反应时间。
2. 个体的知识背景和兴趣影响抢答效果:志愿者在不同领域的正确率存在差异,这与他们的知识背景和兴趣有关。
四路竞赛抢答器实习报告
四路竞赛抢答器实习报告一、前言随着社会的发展,各类竞赛活动日益增多,抢答器作为一种常用的竞赛辅助设备,能够在各类知识竞赛、辩论赛等活动中准确、公正地判断出第一抢答者。
本次实习报告将围绕四路竞赛抢答器的设计与实现展开,介绍抢答器的工作原理、硬件设计、软件编程等方面的内容。
二、实习目的1. 巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。
2. 学会根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。
3. 掌握简单实用电路的分析方法和工程设计方法,提高动手能力和进行数字电子电路实验的基本技能。
4. 了解抢答器的工作原理,学会简单电路的实验调试和性能指标的测试方法。
三、实习内容1. 抢答器的工作原理及功能抢答器的主要功能是在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。
在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。
具体功能如下:(1)可供4人进行的抢答器。
(2)系统设置复位按钮,按动后,重新开始抢答。
(3)抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
抢答后显示优先抢答者序号,同时发出音响。
并且不出现其他抢答者的序号,这样其他选手无法再抢答,达到抢答目的。
(4)抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动开始开关后,定时器开始减计。
2. 硬件设计本次实习采用STC89C51单片机作为主控芯片,主要硬件设备包括:(1)四个独立按键(选手抢答键)。
(2)一个独立按键(主持人键)。
(3)数码管(用于显示组号和倒计时时间)。
(4)四个LED灯(用于指示选手抢答成功)。
3. 软件编程软件编程采用C语言,实现以下功能:(1)主持人按下抢答开始按键后,倒计时3秒,选手抢答并显示抢答成功的小组号。
(2)系统具有复位功能,按下复位按钮后,重新开始抢答。
(3)实现数码管显示抢答器状态和倒计时功能。
四人抢答器实验报告
四人抢答器实验报告实验目的,通过自制四人抢答器,测试参与者在不同条件下的反应速度和准确性。
实验材料,Arduino开发板、按钮开关、LED灯、蜂鸣器、导线、面包板、电阻等。
实验步骤:1. 搭建四人抢答器的电路。
首先,将Arduino开发板连接到面包板上,然后连接四个按钮开关、LED灯和蜂鸣器,确保每个元件都能正常工作。
2. 编写程序。
利用Arduino开发板的编程软件,编写四人抢答器的程序,使其能够实现按下按钮后,LED灯亮起并发出声音。
3. 进行实验。
邀请四名参与者进行实验,他们坐在四个按钮开关旁,准备好后,进行实验。
实验分为两个阶段:a. 第一阶段,正常情况下的抢答。
主持人随机出题,参与者按下按钮进行抢答,抢答正确者LED灯亮起并发出声音,同时记录下抢答的时间。
b. 第二阶段,加入干扰因素。
在第二阶段,我们在参与者抢答时加入一些干扰因素,比如在LED灯亮起后再亮起其他LED灯或者发出噪音等,测试参与者在干扰情况下的反应能力。
实验结果分析:通过实验,我们得出了一些结论:1. 在正常情况下,参与者的反应速度和准确性较高,大部分人能够快速按下按钮进行抢答,并且抢答正确率较高。
2. 在加入干扰因素后,参与者的反应速度和准确性明显下降,部分人甚至出现了按错按钮的情况,说明干扰因素对参与者的抢答能力产生了一定的影响。
结论:通过本次实验,我们验证了四人抢答器在测试参与者反应能力方面的有效性。
参与者在正常情况下表现出较高的反应速度和准确性,但在加入干扰因素后,反应能力明显下降。
因此,在实际应用中,需要考虑到干扰因素对测试结果的影响,以保证测试结果的准确性。
总结:本次实验不仅验证了四人抢答器的可行性,也对参与者在不同条件下的反应能力进行了测试。
通过本次实验,我们对抢答器的制作和使用有了更深入的了解,也为进一步研究参与者的反应能力提供了有益的参考。
以上就是本次四人抢答器实验的报告内容,谢谢阅读。
四人抢答器报告
四人抢答器报告一、背景在各类游戏节目以及竞赛中,抢答环节是一种常见而受欢迎的节目形式。
传统的抢答方式一般是参与者手持答题器,当主持人或者屏幕上显示答题题目后,参与者按下答题器上的按钮进行抢答,并通过闪灯、声音等方式来判断谁先按下按钮。
然而,这种传统的抢答方式存在着许多问题,比如不准确判断先后顺序、抢答设备复杂等。
为了解决这些问题,我们设计了一种新型的四人抢答器,来提供更准确和便捷的抢答体验。
本报告将详细介绍这个四人抢答器的设计原理、实现方式以及使用效果。
二、设计原理1. 硬件设计四人抢答器的硬件设计主要包括四个按钮和一个控制器。
每个按钮都连接到控制器上的一个独立的输入引脚,并且每个按钮都带有一个独立的LED指示灯。
在抢答过程中,当有参与者按下按钮时,相应的LED指示灯将点亮。
控制器还具备一个显示屏,用于显示抢答结果以及其他相关信息。
2. 软件设计四人抢答器的软件设计主要包括两个部分:控制器程序和参与者程序。
控制器程序负责接收按钮信号,判断先后顺序,并在显示屏上显示相应的抢答结果。
当控制器接收到某个按钮按下的信号后,它会根据先后顺序点亮相应的LED指示灯,并将抢答结果显示在显示屏上。
同时,控制器还可以记录每个参与者的得分,并在需要时进行清零。
参与者程序负责在按钮按下时发送信号给控制器。
每个参与者使用一个单独的设备运行参与者程序,通过按下按钮发送信号。
参与者程序会将按钮按下的信号发送给控制器,并根据抢答结果进行相应的反馈。
三、实现方式1. 硬件实现我们使用Arduino作为四人抢答器的控制器,并通过四个按钮和四个LED指示灯连接到Arduino的输入输出引脚上。
按钮和LED指示灯可以通过面包板连接到Arduino。
我们还将一个1602液晶显示屏连接到Arduino的I2C接口上,用于显示抢答结果和其他信息。
2. 软件实现在Arduino上,我们使用C++语言编写了控制器程序和参与者程序。
控制器程序使用Arduino的DigitalRead和DigitalWrite函数来读取按钮的状态和控制LED的亮灭。
四人抢答器实验报告心得
四人抢答器实验报告心得引言本次实验使用四人抢答器进行了一系列测试和比较,旨在探究不同四人抢答器的性能和优劣。
本篇报告将介绍实验设计、实验过程及结果,并总结实验心得。
实验设计实验目的1. 比较不同四人抢答器的抢答精确度和速度;2. 分析四人抢答器在不同环境下的性能表现。
实验设备1. 四人抢答器A:采用无线连接方式,有独立抢答按钮;2. 四人抢答器B:采用有线连接方式,有独立抢答按钮;3. 四人抢答器C:采用无线连接方式,无独立抢答按钮;4. 四人抢答器D:采用有线连接方式,无独立抢答按钮。
实验步骤1. 设计问题集,包含常识性问题和计算题;2. 进行抢答器性能测试,记录每个抢答器的抢答精确度和速度;3. 比较四个抢答器在不同环境下的表现,包括有干扰、无干扰、弱信号等情况;4. 分析并总结实验结果。
实验过程及结果抢答精确度和速度测试在实验中,我们给四个抢答器分别设置了一系列抢答问题,要求对问题进行正确率和时间的记录。
- 抢答器A:抢答精确度为90%,平均抢答时间为2秒。
- 抢答器B:抢答精确度为95%,平均抢答时间为1.5秒。
- 抢答器C:抢答精确度为85%,平均抢答时间为2.5秒。
- 抢答器D:抢答精确度为92%,平均抢答时间为1.8秒。
从结果可以看出,抢答器B在抢答精确度和速度上都比其他抢答器表现得更好。
不同环境下的性能比较我们对四个抢答器在不同环境下进行了测试,包括有干扰、无干扰、弱信号等情况。
- 有干扰情况下,抢答器A和C表现不稳定,出现抢答失误的情况;抢答器B和D仍保持较高的抢答精确度和速度。
- 无干扰情况下,四个抢答器的抢答精确度和速度都保持在较高水平。
- 弱信号情况下,抢答器A和B的抢答精确度和速度明显下降,而抢答器C和D相对稳定。
结果分析与总结通过对四个抢答器的测试,我们得出以下结论:1. 抢答器B在抢答精确度和速度上表现出色,推荐使用;2. 有线连接方式相对于无线连接方式更稳定;3. 弱信号对抢答器的性能有一定影响,需要特别注意信号稳定性;4. 干扰对无独立抢答按钮的抢答器影响较大。
四人抢答器实验报告
四人抢答器实验报告实验报告:四人抢答器一、实验概述本实验通过制作一个简单的四人抢答器来研究电子电路的设计及实现。
四人抢答器可以用于各种有关答题,问答等活动中,通过对接线和元器件的正确连接,实现四人同时答题,快速抢答的功能。
二、实验原理四人抢答器的设计原理非常简单,由主控电路和四个分控电路组成。
主控电路通过运放和3.9V稳压器实现信号的放大和稳定输出,同时提供驱动信号。
四个分控电路通过联锁开关控制按键和LED的亮灭,当按键被按下后,会快速输出信号,并点亮相应的LED。
三、实验过程1.设计及制作电路板根据实验需求,设计并制作了一个简单的电路板。
在电路板上布局了主控电路和四个分控电路。
电路板上还分别接上了用于联锁控制的开关和四个用于控制LED亮灭的按键。
2.元器件的安装在制作好的电路板上,依据电路说明,将需要的元器件逐一安装到电路板上,包括电容器、电阻、集成电路等元器件,并进行测试。
3.调试测试按照电路图所示,正确连接接线,检查电源是否正确并插入,并接通电源。
逐个测试四个分控电路的功能,确保每个LED可以正常亮灭,并且每个分控电路可以通过联锁开关进行控制,同时主控电路可以正确地识别四个分控电路的输入。
四、实验结果实验结果表明,本次设计的四人抢答器可以快速准确的响应四个按键,同时点亮相应的LED灯。
实验过程中没有出现短路、过压、过流等问题,电路板制作和元器件安装没有任何质量问题。
五、实验总结通过此次实验,我们学习了如何制作一个简单且实用的四人抢答器。
我们也学习了一些基本的电子电路知识,例如稳压器、运放、电容器、电阻等,这些知识可以帮助我们更好地理解电子电路的设计与实现。
在实验中,我们也学会了如何正确运用元器件,按照电路图进行正确的接线和测试,这些对于我们未来的电子电路设计和调试都具有重要意义。
电子科技大学电子技术应用实验报告(四人抢答器)
电子科技大学实验报告一、实验项目名称4人智力竞赛抢答器实验内容:设计并实现一个4人竞赛用抢答器电路具体要求为:1.每个参赛者控制一个按钮,用按动按钮发出抢答信号;2.竞赛主持人另有一个按钮,用于将电路复位;3.抢答器具有锁存功能,即竞赛开始后,先按动按钮者将对应的一个LED灯点亮,此后其他三人再按动按钮对电路不起作用,直到主持人将电路复位为止。
4.用LED数码管显示抢答成功选手的编号。
5.加入倒计时功能。
当主持人复位电路开始抢答时,自动启动60秒计时,时间到后计时数码管显示“00”并持续报警,直至主持人将电路复位为止。
实验要求:设计满足要求的电路,并在Multisim中进行连接、仿真和调试。
在实验报告中简要地说明实验原理,画出实验电路图,在相应的位置附上实验中的仿真结果和波形。
二、试验时间计划表1.主要任务:确定模块分工,画出模块连接示意框图;计划学时数:4;实际学时数:1;完成情况:确定模块分工和具体功能,初步确认主器件和基本实现方式,不需要块图2.主要任务:对每个模块进行实现并基本调试成功;计划学时数:6;实际学时数:8;完成情况:通过建立电路中遇到的问题不断修改优化初步功能,并在建立其他模块的时候利用已有功能帮助简化电路的建立。
3.主要任务:对已经建立的模块进行耦合调试和修改;计划学时数:4;实际学时数:2;完成情况:成功对各模块进行耦合,并对布线进行一定的优化。
三、方案论证此数字式抢答器主要需要实现锁存功能、复位功能、计时功能、显示功能和警告功能。
其中锁存、复位、计时功能是这个电路的核心:锁存功能需要锁存抢答信息,当一个选手进行抢答以后必须阻断别的选手的抢答。
锁存计时信号,当一个选手抢答以后倒计时停止,阻断时钟信号。
复位锁存,当处于复位状态的时候其他选手不能抢答;复位功能需要复位抢答,消除所有选手的抢答状态;复位计时,恢复倒计时数字,并停止计时;计时功能需要建立起振器,形成1Hz脉冲;需要建立60位倒计时器。
四路竞赛抢答器实习报告
一、实习目的通过本次实习,使学生掌握四路竞赛抢答器的原理和设计方法,提高学生的动手能力和实践能力,培养学生的创新思维和团队合作精神。
二、实习内容本次实习主要涉及以下内容:1. 四路竞赛抢答器原理分析四路竞赛抢答器是一种具有优先输出的电子电路,主要用于各类竞赛中的抢答。
在四路抢答器中,每组选手有一个抢答按钮,当主持人按下开始按钮后,选手按下抢答按钮,抢答器会显示抢答成功的选手编号,并发出音响提示。
2. 四路竞赛抢答器硬件设计四路竞赛抢答器的硬件设计主要包括以下几个部分:(1)按键电路:包括四个选手抢答按钮和一个主持人开始按钮。
(2)锁存电路:用于锁存抢答成功的选手编号。
(3)译码显示电路:将锁存的选手编号转换为数码管显示。
(4)音响电路:用于发出音响提示。
(5)定时电路:用于设定抢答时间。
3. 四路竞赛抢答器软件设计四路竞赛抢答器的软件设计主要包括以下几个部分:(1)按键扫描:扫描选手抢答按钮和主持人开始按钮的状态。
(2)锁存处理:当抢答按钮被按下时,将抢答成功的选手编号锁存。
(3)译码显示:将锁存的选手编号转换为数码管显示。
(4)音响提示:当抢答成功时,发出音响提示。
(5)定时处理:设定抢答时间,并在时间到时发出音响提示。
三、实习过程1. 理论学习首先,我们学习了四路竞赛抢答器的原理和设计方法,了解了硬件设计和软件设计的具体内容。
2. 硬件制作根据设计图纸,我们按照以下步骤制作了四路竞赛抢答器的硬件:(1)焊接按键电路:焊接四个选手抢答按钮和一个主持人开始按钮。
(2)焊接锁存电路:焊接锁存电路所需的元器件。
(3)焊接译码显示电路:焊接译码显示电路所需的元器件。
(4)焊接音响电路:焊接音响电路所需的元器件。
(5)焊接定时电路:焊接定时电路所需的元器件。
3. 软件编写根据设计要求,我们编写了四路竞赛抢答器的软件,包括按键扫描、锁存处理、译码显示、音响提示和定时处理等功能。
4. 系统调试在硬件制作和软件编写完成后,我们对四路竞赛抢答器进行了系统调试,确保各个功能正常运行。
四路智力竞赛抢答装置实验报告
四路智力竞赛抢答装置一、实验目的1、 学习数字电路中D 触发器、分频电路、多谐振荡器、CP 时钟脉冲源等单元电路的综合运用。
2、 熟悉智力竞赛抢赛器的工作原理。
3、 了解简单数字系统实验、调试及故障排除方法。
二、实验原理图8-1为供四人用的智力竞赛抢答装置线路,用以判断抢答优先权。
a .四路抢答主电路c. 1KHz 非对称型多谐振荡器 b. 指示灯隔离驱动电路图8-1智力竞赛抢答装置原理图图中F1为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列见附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路,F3、F4组成抢答电路中的CP时钟脉冲源,抢答开始时,由主持人清除信号,按下复位开关S1,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时共同的信号灯或蜂鸣器发出接通信号,通过与非门F2送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止。
三、实验设备与器件1、+5V直流电源2、逻辑电平开关3、逻辑电平显示器4、双踪示波器5、数字频率计6、直流数字电压表7、74LS175、74LS20、74LS74、74LS008、继电器、灯泡9、开关5个、导线若干四、实验内容1、测试各触发器及各逻辑门的逻辑功能。
试测方法参照实验二及实验九有关内容,判断器件的好坏。
2、按图8-1接线,抢答器五个开关接实验装置上的逻辑开关、发光二极管接逻辑电平显示器。
3、断开抢答器电路中CP脉冲源电路,单独对多谐振荡器F3及分频器F4进行调试,调整多谐振荡器10K电位器,使其输出脉冲频率约4KHz,观察F3及F4输出波形及测试其频率。
4、测试抢答器电路功能接通+5电源,CP端接实验装置上连续脉冲源,取重复频率约1KHz。
(1)抢答开始前,开关K1、K2、K3、K4均置“0”,准备抢答,将开关S置“0”,发光二极管全熄灭,再将S置“1”。
智力竞赛抢答器实习报告资料及心得体会
篇一:数电实习报告抢答器数字电子技术课程实习课题:四人智力竞赛抢答器电路设计与制作内容摘要在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。
通过抢答器的数显,灯光和音响等手段指示出第一抢答者。
同时还可以设置定时、记分犯规及奖惩等多种功能。
本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。
数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出,组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
经过模拟仿真,下载到开发板等工作后数字抢答器成型。
关键字 :编码电路;锁存器;译码电路。
第1章 概 述随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。
现在各电视台的活动和课外活动都很多。
人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。
智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。
数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。
第2章 四人抢答器电路原理及设计2.1设计任务和要求: 智力竞赛抢答器实习报告资料 【最新资料,WORD 文档,可编辑修改】一.设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。
智力竞赛抢答器实训报告
一、实验背景随着各类竞赛活动的普及,如何公正、高效地判定抢答者的优先级成为一个重要问题。
为此,我们设计并制作了一台适用于四人智力竞赛的抢答器。
该抢答器通过数字电路实现,能够实时显示倒计时时间,并在选手抢答成功时发出蜂鸣声,指示抢答者的优先级。
二、实验目的1. 熟悉数字电路中D触发器、分频电路、多谐振荡器、CP时钟脉冲源等单元电路的综合运用。
2. 掌握智力竞赛抢答器的工作原理。
3. 了解简答数字系统设计、调试及故障排除方法。
三、实验原理1. D触发器:D触发器是一种基本的数字电路单元,具有存储一位二进制信息的功能。
在本实验中,我们使用D触发器74LS175作为抢答器的核心元件,实现选手抢答信号的锁存和优先级判断。
2. 分频电路:分频电路用于将输入信号的频率降低,以满足抢答器对倒计时时间的需求。
在本实验中,我们使用74LS74组成的四分频电路,将输入时钟信号分频,产生倒计时所需的时钟脉冲。
3. 多谐振荡器:多谐振荡器是一种能够产生周期性方波信号的电路。
在本实验中,我们使用74LS00组成的多谐振荡器产生抢答成功时的蜂鸣声。
4. CP时钟脉冲源:CP时钟脉冲源为抢答器提供统一的时钟信号,保证各个单元电路同步工作。
四、实验步骤1. 电路设计:根据实验原理,设计抢答器的电路图,包括D触发器、分频电路、多谐振荡器、CP时钟脉冲源等单元电路。
2. 元器件选型:根据电路图,选择合适的元器件,包括D触发器、分频电路、多谐振荡器、CP时钟脉冲源、数码管、按键、蜂鸣器等。
3. 电路搭建:按照电路图,将选好的元器件焊接在电路板上,连接好各个引脚。
4. 电路调试:检查电路连接是否正确,使用示波器等工具检测各个单元电路的波形,确保电路正常工作。
5. 功能测试:进行抢答器功能测试,包括倒计时显示、抢答成功蜂鸣声、优先级判断等。
五、实验结果与分析1. 倒计时显示:抢答器能够正常显示倒计时时间,从9秒开始倒计时,直到0秒。
2. 抢答成功蜂鸣声:当选手抢答成功时,抢答器能够发出蜂鸣声,提示选手抢答成功。
四人智力竞赛抢答器课程设计报告(最终5篇)
四人智力竞赛抢答器课程设计报告(最终5篇)第一篇:四人智力竞赛抢答器课程设计报告一、设计题目四人电子抢答器二、设计功能1.基本功能(1)抢答器同时供4名选手比赛,分别用4个按钮key5 ~ key8表示。
(2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。
(3)抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
2.扩展功能(1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。
当主持人启动“开始”键后,定时器进行减计时。
(2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
(3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效,3.自主功能(1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。
(2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。
(3)每个选手有一个记分板,答对题目可以由主持人控制start 键给予加分。
每加一分响一次。
(4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。
三、原理电路设计:1、方案抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。
输出在共阳数码管显示主持人电路:(1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。
假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。
(2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。
四路抢答器的实训报告
四路抢答器的实训报告1. 引言四路抢答器是一种用于组织抢答比赛的设备,它可以同时支持四个参与者进行抢答,非常适用于学校、培训机构等场合。
本文将对四路抢答器的实训进行详细的报告和分析。
2. 设备介绍四路抢答器由以下主要部件组成: - 主控制器:负责控制整个抢答器的运作,接收和处理参与者的回答。
- 抢答器按钮:参与者按下按钮发出抢答信号,第一个按下的按钮将亮灯显示。
- 显示屏:用于显示当前抢答情况,包括按下按钮的顺序、回答正确与否等信息。
- 声音发生器:用于发出抢答成功或失败的提示音。
3. 抢答流程四路抢答器的流程如下: 1. 主控制器启动,显示屏清零。
2. 参与者通过按下按钮表明参与抢答的意愿。
3. 按钮按下后,主控制器接收到信号,记录下参与者的顺序,并将相应按钮灯亮起。
4. 主控制器判断参与者回答是否正确,并在显示屏上给出相应提示。
5. 如果回答正确,主控制器会发出成功的声音提示,并继续接收下一个参与者的回答。
6. 如果回答错误,主控制器会发出失败的声音提示,并为下一个参与者准备机会。
7. 当所有参与者都回答完毕或时间到,主控制器停止运行,并显示最终抢答结果。
4. 实训步骤完成四路抢答器的实训,主要包括以下步骤:4.1 准备工作•确保所有设备和连接线的完好性。
•设置主控制器的抢答模式和时间限制。
4.2 连接设备•将按钮和显示屏连接至主控制器的相应接口。
•检查连接是否正确,并按需求进行调整。
4.3 软件设置•启动主控制器,并按照说明书进行软件设置。
•设置抢答模式、回答时间、正确答案等参数。
4.4 抢答比赛•参与者按下按钮抢答。
•主控制器记录抢答顺序,并显示在显示屏上。
•判断回答是否正确,并在显示屏上给出相应提示。
4.5 比赛结束•所有参与者回答完毕或时间到后,主控制器停止运行。
•显示最终抢答结果,并进行相应的奖励或表彰。
5. 抢答器的应用四路抢答器可以广泛应用于各种场合: - 学校课堂:用于提高学生对知识的积极性和主动性,激发学习兴趣。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
四人智力竞赛抢答器
设计一台可供4名选手参加比赛的智力竞赛抢答器。
用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。
选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。
(1)4名选手编号为:1,2,3,4。
各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。
(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。
(3)抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。
抢答选手的编号一直保持到主持人将系统清零为止。
(4)抢答器具有定时(9秒)抢答的功能。
当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。
参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。
系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。
(6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。
数字抢答器总体方框图
图11、1数字抢答器框图
如图11、1所示为总体方框图。
其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;
主持人将开关置开始"状态,宣布"开始"抢答器工作。
定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
2.单元电路设计
(1) 抢答器电路
参考电路如图11、2所示。
该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。
工作过程:开关S置于"清除"端时,RS触发器的端均为0,4个触发器输出置0,使74LS148的=0,使之处于工作状态。
当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S4),74LS148的输出经RS锁存后,1Q=1,74LS48处于工作状态,4Q3Q2Q=100,经译码显示为"4"。
此外,1Q=1,使74LS148 =1,处于禁止状态,封锁其他按键的输入。
当按键松开即按下时,74LS148的此时由于仍为1Q=1,使ST=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。
如有再次抢答需由主持人将S开关重新置清除"然后再进行下一轮抢答。
74LS148为8线-3线优先编码器.
图11、2数字抢答器电路
(2)定时电路
Key = Space
图11、3 可预置时间的定时电路
由节目主持人设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。
可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图11、3所示。
(3)报警电路
(4)零点锁存电路
U14
74192N
A 15
B 1
C 10D
9
UP 5QA 3QB 2QC 6QD 7DOWN
4
~LOAD 11~BO 13~CO
12
CLR 14U9
74LS148D
A09A17A26GS 14D313D41D52D212D111D010D74D63EI
5
EO
15
U19D
74F04N
1
2
(5)时序控制电路
时序控制电路是抢答器设计的关键,它要完成以下三项功能:
①主持人将控制开关拨到"开始"位置时,抢答电路和定时电路进人正常抢答工作状态。
②当参赛选手按动抢答键时,抢答电路和定时电路停止工作,倒计时归零。
③当设定的抢答时间到,无人抢答时,抢答电路和定时电路停止工作。
四.元件选择
1. 集成电路:74LS148 1片74LS373 1片74LS48 2片
74LS192 1片NE555 1片74LS00 2片
74LS121 2片74LS21 1片74LS32 1片
74LS08 1片
2. 电阻|:100KΩ 2只40Ω2只15kΩl只68kΩ l只
3. 电容:10UF 3只10nf 1只
4. 其它:共阴极显示器2只, 双刀单闸开关1只,PBNO开关4只,buzzer
蜂鸣器2只
五,整体电路及软件仿真的效果图以及仿真调试结果分析
4
F0
J K V C C
.. 555的秒脉冲图
六.工作原理
1.置数:通过单刀双掷开关将74ls192的load输入端先置为高电平,使
74ls192实现置数功能,将其置为1001,再通过开关,将load输入端先置为低电平,使其开始倒计时。
2.当主持人按下开始按钮时,蜂鸣器响,选手开始抢答,并且倒计时开始倒计,当有任何一个选手按下按钮时LS148被锁存,蜂鸣器响,其他选手按下无效,同时倒计时制止,数码管显示剩余时间和选手对应的号码,倒计时数码管显示为0,蜂鸣器响,禁止选手抢答。
主持人再置为开始状态,其他选手才可以继续抢答,倒计时从新倒计,进入下一个抢答状态.
3.当无选手按按钮是,倒计时继续直到倒计时为零,此时零点到的信号将LS148锁存,选手再按下按钮无效,同样只有当主持人清零后再置为开始状态,其他选手才可以继续抢答。
4.锁存的实现:LS373的有信号输入时,通过74LS21将信号反馈回74LS373的使能端EI,此时LS373被高电平锁住,停止工作,禁止其它选手抢答,同时将低电平信号反馈回74ls192的倒计时输入端,使其停止工作,保持剩余抢答时间。
当倒计时为0时,通过74LS32将信号反馈回74LS148的使能端EI,此时LS148被锁在低电平,停止工作,禁止有选手超时抢答,同时将低电平信号反馈回74ls192的倒计时输入端,使其停止工作,停止倒计时。
主持人通过单刀双掷开关对LS373和LS148的使能端的控制来清除锁存信号,并使得抢答器重新工作。