ModelSim的前后仿真_Quartus__Cytech

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

利用Quartus5.0实现功能仿真

1)打开一个工程文件。

2)打开Settings设置栏,选择Fitting Settings下的Simulator栏。在右边出现的设置栏中将

“Simulation Mode”的下拉菜单选择“Functional”,即可以实现软件下的功能仿真。(下拉菜单中有“Functional”、“Timing”和“Timing using Fast Timing Model”,分别代表可以在Quartus软件下实现功能仿真,时序仿真和快速时序仿真。最后一项一般不选,如果在Settings->Timing Requirement->More Settings下“Report Combined Fast/Slow Timing”

选项设为“On”,就可以选择最后一项。编译的报告里也会分别列出最快和最慢的时序报告。)

3)选择“Processing”菜单下的“Generate Functional Simulation Netlist”命令,否则将无法

启动仿真。

4)新建一个波形仿真文件,文件后缀名为.vwf。选择File菜单下的New->Other Files->Vector

Waveform File。如下图所示,左边空白栏处是节点名的列表区,右边空白栏处是仿真波形的显示区。波形编辑窗口默认时间为1us,如果想改变仿真时间,可以选择Edit菜单下End Time,在弹出的对话框中选择需要的时间。将新建的波形仿真文件保存下来。

5)将需要仿真的信号加入波形编辑窗口。在列表区任一位置双击或者点击右键选择“Insert

Node or Bus…”,弹出的对话框点击“Node Finder”按钮。在“Node Finder”界面中点击“List”按钮,有关信号的列表会出现在界面的左边,双击需要观察的信号加入至界面右边。如果工程中用到了很多信号,在左边列表中也会显示很多(Named编辑框默认的是*通配符),可以在Named编辑框中添加需要的信号名称实现模糊查找。界面中“Filter”下拉框中默认的是“Pins: all”,也就是说将要列出的信号都是IO管脚。如果需要观察一些内部信号,可以改变下拉框的参数,比如“Registers: Pre-Synthesis”。下图显示了仿真信号加入波形编辑窗口的情况。对于有些总线信号可以改变其显示的进制格式,比如二进制、八进制、十进制和十六进制。在列表中对应信号点击右键选择

“Properties”,弹出的对话框中选择“Radix”下拉框实现进制的转换。

6)编辑仿真信号激励源。在需要加入激励的信号上右键点击“Value”,子菜单下会有多种

设置。比如说时钟、固定电平、三态等等。也可以点击在节点列表区旁边对应的图标完成激励的设置,鼠标放在图标上滞留一段时间会出现即时帮助。有两个地方需要说明一下:

○1“Value”下选择“Count Value”,生成自加的信号激励,可以设置的选项包括自加的起始和步进长度,自加起始及终止的时间位置。多用于设定地址或是数据信号的激励。

○2设置一些使能、读写等信号或者串行数据信号需要使用自定义的功能,可以选择对应的信号,点击“Waveform Editing Tool”图标,鼠标会变成图标显示的样子。

在需要变化的时域内拖动鼠标,即可以改变信号的高低电平。如下图中显示的“rst”

信号的设置。

7)所有信号激励设置完成之后,点击“Start Simulation”按钮,提示保存之后可以看到

功能仿真的时序图。

利用Quartus5.0实现时序仿真

步骤与功能仿真基本一样,只要在第二步“Simulation Mode”下拉菜单选择“Timing”。另外在开始仿真之前要完成工程的布线工作,选择“Processing”菜单下的“Start->Start Fitting”或者直接点击“Start Compilation”按钮。因为是后仿,功能仿真中的第三步骤“Generate Functional Netlist”就不需要了。

利用ModelSim SE6.0C实现时序仿真

1)打开一个工程文件。

2)打开Settings设置栏,选择EDA Tools Settings下的Simulation栏。在右边出现的设置栏

中将“Tool name”的下拉菜单选择“ModelSim(Verilog)”(如果工程用VHDL语言实现,则可以选择“ModelSim(VHDL)”;如果ModelSim使用的是for Altera的专用版本,则可以选择“ModelSim-Altera(Verilog)”或“ModelSim-Altera(VHDL)”)。

另外在设置栏中还有其他的核选框。

○1如果选中“Maintain hierarchy”,则表示在做时序仿真时就能看到像在功能仿真的工程文件层次结构,可以找到定义的内部信号。因为在做后仿时,源文件中的信号名称已经

没有了,被映射为软件综合后自己生成的信号名,观察起来很不方便。这个设置与ISE

里综合右键属性的Keep Hierarchy选择YES的功能是一样的。

○2如果选中“Generate netlist for functional simulation only”,则表示只能做功能仿真。

3)点击“Start Compilation”按钮编译工程,完成之后在当前的工程目录下可以看到一

个名为“Simulation”的新文件夹,下面的“ModelSim”文件夹下包括仿真需要的.vo网表文件和包含延迟信息的.sdo文件。

4)打开ModelSim软件(或者在Quartus下“Settings->EDA Tools Setting->Simulation”出现

的设置栏中选中“Run this tool automatically after compilation”,直接从Quartus下调用ModelSim软件),可以在当前工程目录下新建一个Project。在Project标签栏内点击右键,出现在快捷菜单中选择“Add to Project->Existing File…”。加入当前工程目录的“\Simulation\ModelSim\”路径下的.vo文件、TestBench文件和对应当前工程所选择器件的网表文件。

比如:当前工程选择的器件是Cyclone系列,Quartus安装目录在“C:\altera”路径下。

因此需要在“C:\altera\quartus50\eda\sim_lib”路径下找到“cyclone_atom.v”的网表文件导入到ModelSim下的Project。如果是其他器件亦是如此,只要在此目录下找到对应有“_atom”后缀的.v文件。当然整个大前提是ModelSim SE版本已经加入了Alterta的仿真库,不过ModelSim-Altera版本就不会存在这样的问题。

5)在出现的Project标签栏的快捷菜单中选择“Add to Project->Simulation Configuration”,

相关文档
最新文档