2FSK数字信号频带传输系统的设计与建模

合集下载

通信原理课设 4路基带的2FSK信号传输系统的设计

通信原理课设 4路基带的2FSK信号传输系统的设计

课程设计任务书学生姓名:专业班级:信息1203班指导教师:工作单位:信息工程学院题目: 基本题:基于信道编码的数字基带信号传输系统扩展题:4路基带的2FSK信号传输系统的设计初始条件:1路数字基带信号的传输速率为1KHZ;时分复用信号路数为2;频分复用信号路数为2;同步信号的提取方法为帧同步法。

信道衰减为 20dB,存在白噪声;仿真软件为 SystemView。

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、设计复用信号的帧结构和帧同步码;2、设计发送、传输(无ISI)、接收和同步电路(小组成员分工);3、确定部件类型、参数(电路放大倍数、带宽)等性能指标;4、连接部件组成系统,调试;5、仿真验证,要求收发信号波形基本一致并对结果进行分析;6、说明书撰写严格执行教务处文件。

时间安排:12.15 ~12.30 设计系统电路、仿真调试、写报告;1.9 答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 绪论 (1)1.1任务要求 (1)1.2 2FSK简述 (1)1.3时分复用简述 (1)1.4频分复用简述 (1)1.5 systemview简述 (1)2 实现方案 (3)2.1 原理框图 (3)2.2 总体思想 (3)2.3 仿真系统图 (4)3 发送部分各模块设计 (5)3.1 基带/帧同步信号产生模块 (5)3.1.1 基带/帧同步信号产生模块原理框图 (5)3.1.2 基带/帧同步信号产生模块仿真图 (5)3.1.3 基带/帧同步信号产生模块原理 (5)3.2 提速模块 (7)3.2.1 基带/帧同步信号产生模块原理框图 (7)3.2.2 基带/帧同步信号产生模块仿真图 (7)3.2.3 基带/帧同步信号产生模块原理 (8)3.3 时分复用模块 (9)3.3.1 基带/帧同步信号产生模块原理框图 (9)3.3.2 基带/帧同步信号产生模块仿真图 (9)3.3.3 基带/帧同步信号产生模块原理 (9)3.4 2fsk调制模块 (11)3.4.1 基带/帧同步信号产生模块原理框图 (11)3.4.2 基带/帧同步信号产生模块仿真图 (11)3.4.3 基带/帧同步信号产生模块原理 (11)4调试结果及结果分析 (12)4.1调试结果 (12)4.2结果分析 (12)5基于信道编码的基带信号传输系统的设计 (13)5.1组成框图 (13)5.2仿真设计 (13)5.3分析 (13)6心得体会 (14)参考文献 (15)1绪论1.1 任务要求1、设计复用信号的帧结构和帧同步码;2、设计发送、传输(无ISI)、接收和同步电路(小组成员分工);3、确定部件类型、参数(电路放大倍数、带宽)等性能指标;4、连接部件组成系统,调试;5、仿真验证,要求收发信号波形基本一致并对结果进行分析;6、说明书撰写严格执行教务处文件。

2FSK数字频带系统的设计与仿真

2FSK数字频带系统的设计与仿真

******************实践教学*******************2013年春季学期通信系统仿真训练题目:2FSK数字频带系统的设计与仿真专业班级:姓名:学号:指导教师:成绩:摘要本文介绍了2FSK系统的两种解调方式:相干解调和非相干解调。

本次课程设计主要利用MATLAB仿真2FSK系统的调制与解调过程,实现2FSK的调制与解调,主要采用相干解调的方式解调2FSK。

首先,利用Matlab仿真出2FSK的调制信号、载波信号、以及已调信号的波形图和频谱图,第二,在仿真出波形图和频谱图的基础之上,通过程序编出在不同信噪比情况下,2FSK的误码率分析,画出误码率与信噪比的关系图。

关键词:仿真FSK 误码率信噪比目录前言 (1)一. 基本原理 (2)1. 2FSK的简单介绍 (2)2. 2FSK的调制原理 (3)3. 2FSK的解调 (4)二、设计思路 (11)1.matlab的简单介绍 (11)2.FSK在matlab环境下实验的优劣 (12)3.信号产生 (12)4.信号调制 (13)5.解调 (13)6.流程图 (13)三、仿真结果及分析 (14)1.仿真结果 (15)2.结果分析 (18)总结 (19)致 (20)附录 (21)参考文献 (28)前言本课程设计主要研究2FSK数字频带系统的设计和仿真,通过本次设计达到以下几个目的:1.学会使用计算机建立通信系统仿真模型的基本方法及基本技能,学会利用仿真的手段对于实用通信系统的基本理论,基本算法进行实际验证。

2.学习通信系统仿真软件MATLAB7.0的基本使用方法,学会使用这些软件解决实际系统出现的问题。

3.通过系统仿真加深对通信课程理论的理解。

4.用MATLAB7.0设计一种2FSK调制解调系统。

5.掌握2FSK调制和解调的原理与实现方法。

6.根据2FSK调制系统的原理给出调制和解调的原理框图。

2FSK在数字通信中应用较广泛,国际电信联盟建议在数据率低于1200b/s时采用2FSK体制,2FSK可以采用非相干接收方式,接受时不必利用信号的相位信息,因此特别适合应用于衰落信道/随参信道(如短波无线电信道)的场合,这些信道会引起信号的相位和振幅随机抖动和起伏。

2FSK设计实验报告

2FSK设计实验报告

目录1 技术要求 (3)2 基本原理 (3)2.1 二进制频率键控及其调制原理与框图 (3)2.2 2FSK系统的解调原理与框图 (5)3 建立模型描述 (6)3.1 用Matlab实现2FSK的调制与解调 (6)3.1.1 Matlab函数 (6)3.1.2 Simulink模块 (6)3.2用systemview实现2FSK的调制与解调 (7)3.2.1 相干解调模块 (7)3.2.2 非相干解调模块 (8)4模块功能描述及源程序代码 (8)4.1 MATLAB源程序代码 (8)4.2 Simulink模块功能分析 (15)4.3 Systemview模块功能分析 (20)4.3.1 相干解调模块功能分析 (20)4.3.2 非相干解调模块功能分析 (24)5 调试过程及结论 (28)5.1基于MATLAB程序的2ASK调制解调仿真过程及结论 (28)5.1.1 调试过程中各点的波形 (28)5.1.2 调试结论及改进 (29)5.2基于Simulink模块的2ASK调制解调仿真过程及结论 (29)5.2.1 调试过程中各点的波形 (29)5.2.2 调试结论及改进 (31)5.3基于Systemview的2ASK调制解调仿真过程及结论 (32)5.3.1 相干解调 (32)5.3.2 非相干解调 (34)6心得体会 (37)7参考文献 (38)二进制数字频带传输系统设计——2FSK 系统1 技术要求设计一个2FSK 数字调制系统,要求: (1)设计出规定的数字通信系统的结构;(2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等);(3)用Matlab 或SystemView 实现该数字通信系统; (4)观察仿真并进行波形分析; (5)系统的性能评价。

2 基本原理2.1 二进制频率键控及其调制原理与框图频移键控是利用载波的频率变化来传递数字信息。

在2FSK 中,载波的频率随二进制基带信号在f1和 f2两点间变化,其表达式为:由图可见,2FSK 信号的波形(a )可以分解为波形(b )和波形(c ),也就是说,一个2FSK 信号可以看成是两个不同载频的2ASK 信号的叠加。

数字频带系统——2FSK系统,西安邮电大学通原实验

数字频带系统——2FSK系统,西安邮电大学通原实验

失真 3、 采用包络检波,记录恢复信号的波形和功率谱密度;
分析:包络检波可以恢复出原始信号,在没有高斯噪声的情况下也没有 失真 4、 在接收机模拟带通滤波器前加入高斯白噪声;
分析:在高斯噪声小的时候,相干解调恢复的信号波形几乎无失真。在高斯噪声大的时候 相干 解调的性能逐渐减弱。
实验成绩评定一览表
仿真参数及系统框图:
信源信号(1)Amp=10v,Rate=3000Hz(2)Amp=10v,Rate=6000Hz; 载波信号:Amp=10,Rate=3000Hz; 单极性不归零码Rate = 1000Hz,Amp =1V,Offset = 1V; 带通滤波器:(1)Low Fc = 2000Hz,Hi Fc = 4000Hz; 带通滤波器:(2)Low Fc = 5000Hz,Hi Fc = 7000Hz; 低通滤波器:Fc=1000Hz; 极点个数为1 Density in 1 ohm = 0.00002W/Hz;
仿真波形及实验分析: 1、 采用键控法,记录2FSK信号的波形和功率谱密度;
分析:由上看出2FSK信号功率谱由连续谱和离散谱两部分构成,离散谱 出现在
f1和f2位置,功率谱密度中的连续谱部分一般出现双峰。 2、 采用相干解调,记录恢复信号的波形和功率谱密度;
分析:相干解调可以恢复出原始信号,在没有高斯噪声的情况下也没有
系统设计合理,模块布局合理,线迹美观清楚
系统设 系统设计合理,模块布局较合理,线迹清楚
计与模
系统设计、模块布局较合理,线迹较清楚
块布局 系统设计基本合理,模块布局较合理,线迹较清楚
系统设计不够合理,模块布局较合理,线迹较清楚
参数设置合理,仿真波形丰富、准确
参数 设置 与仿 真波

2FSK传输系统的设计与建模

2FSK传输系统的设计与建模

1.FSK信号的产生library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_FSK isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_FSK;architecture behav of PL_FSK is signal q1:integer range 0 to 11; signal q2:integer range 0 to 3; signal f1,f2:std_logic;beginprocess(clk)beginif clk'event and clk='1' thenif start='0' then q1<=0;elsif q1<=5 then f1<='1';q1<=q1+1; elsif q1=11 then f1<='0';q1<=0; else f1<='0';q1<=q1+1; end if;end if;end process;process(clk)beginif clk'event and clk='1' thenif start='0' then q2<=0;elsif q2<=0 then f2<='1';q2<=q2+1; elsif q2=1 then f2<='0';q2<=0; else f2<='0';q2<=q2+1;end if;end if;end process;process(clk,x)beginif clk'event and clk='1' thenif x='0' then y<=f1;else y<=f2;end if;end if;end process;end behav;仿真2.2FSK的解调library ieee;use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_FSK2 isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_FSK2;end behav; architecture behav of PL_FSK2 issignal q:integer range 0 to 11;signal xx:std_logic;signal m:integer range 0 to 5;beginprocess(clk)beginif clk'event and clk='1' then xx<=x;if start='0' then q<=0;elsif q=11 then q<=0;else q<=q+1;end if;end if;end process;process(xx,q)beginif q=11 then m<=0;elsif q=10 thenif m<=3 then y<='0';else y<='1';end if;elsif xx'event and xx='1' then m<=m+1;end if;end process;。

课程设计----二进制数字频带传输系统(2FSK系统)设计

课程设计----二进制数字频带传输系统(2FSK系统)设计

二进制数字频带传输系统设计——2FSK 系统1 技术要求设计一个2FSK 数字调制系统,要求: 1)设计出规定的数字通信系统的结构;2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等); 3)用Matlab 或SystemView 实现该数字通信系统; 4)观察仿真并进行波形分析; 5)系统的性能评价。

2 基本原理频移键控是利用载波的频率来传递数字信号,在2FSK 中,载波的频率随着二进制基带信号在f1和f2两个频率点间变化。

2FSK 信号的产生方法主要有两种。

一种可以采用模拟电咱来实现;另一种可以采用键控法来实现,即在二进制基带矩形脉冲序列的控制下通过开关对两个不同的独立源进行先通,使其在每一个码元期间输出f1和f2两个载波之一。

这两种方法产生2FSK 信号的差异在于:由调频法产生的2FSK 信呈在相邻码元之间的相位是连续变化的,而键控法产生的2FSK 信号,是邮电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一不定期连续。

频移键控是利用载波的频移变化来传递数字信息的。

在2FSK 中,载波的频率随基带信号在f1和f2两个频率点间变化。

故其表达式为:{)cos()cos(212)(n n t A t A FSK t e ϕωθω++=典型波形如下图所示。

由图2.1可见。

2FSK 信号可以看作两个不同载频的ASK 信号的叠加。

因此2FSK 信号的时域表达式又可以写成:)cos()]([)cos(])([)(2_12n s nn n ns n FSK t nT t g a t nT t g a t s ϕωθω+-++-=∑∑1111tak s 1(t)cos (w1t+θn ) s 2(t) s 1(t) co s(w1t +θn )cos (w2t+φn)s 2(t) cos (w2t+φn)2FSK 信号tttttt图2.1 2FSK 信号的调制过程原理图2.1 2FSK 数字系统的调制原理2FSK 调制就是使用两个不同的频率的载波信号来传输一个二进制信息序列。

2FSK数字调制系统的设计与仿真

2FSK数字调制系统的设计与仿真

摘要2FSK是信息传输中使用得较早的一种调制方式.本文主要简述了2FSK的设计原理,设计步骤和设计结果及分析.设计原理包括了2FSK的介绍,调制原理和解调原理;设计步骤包括了2FSK信号的产生,调制和解调;设计结果及分析则包括了2FSK信号产生,调制和解调每一步的结果分析和用matlab实现上述的结果. 2FSK在中低速数据传输中得到了广泛的应用。

所谓FSK就是用数字信号去调制载波的频率。

关键词:2FSK 基带信号载波调制解调目录一引言 (1)二2fsk的基本原理和实现 (2)2.1 2FSK信号介绍 (2)2.2 2FSK信号的调制原理 (3)三详细设计步骤 (4)四设计结果及分析 (5)4.1 信号产生 (5)4.2 信号调制 (7)4.3 信号解调 (7)4.4 课程设计程序 (10)五心得体会 (14)参考文献 (15)一、引言本文主要利用matlab来实现2FSK数字调制系统解调器的设计。

该设计模块包含信源调制、发送滤波器模块、信道、接收滤波器模块、解调以及信宿,并对各个模块进行相应的参数设置。

在此基础上熟悉matlab的功能及操作,最后通过观察仿真波形进行波形分析及系统的性能评价。

2FSK信号的产生方法主要有两种:一种是模拟调频法,另一种是键控法,即在二进制基带矩形脉冲序列的控制下通过开关电路对两个不同的独立频率源进行选通,使其在每一个码元Ts期间输出f1或f2两个载波之一。

这两种方法产生2FSK信号的差异在于:由调频法产生的2FSK信号在相邻码元之间的相位是连续变化的,而键控法产生的2FSK信号是由电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续。

本实验采用的是模拟调频法产生2FSK信号。

2FSK信号的接受也分相干和非相干接受两种,非相干接收方法不止一种,他们都不利用信号的相位信息。

故本设计采用相干解调法。

在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点间变化。

2FSK数字频带系统的设计与仿真

2FSK数字频带系统的设计与仿真

摘要信号的载波调制有三种方法,包含振幅键控(ASK)、频率键控(FSK)和相位键控(PSK)。

本次综合训练主要是利用MATLAB仿真软件,利用2FSK完成对数字信号频带传输系统的设计与仿真实现。

关键词:载波调制 2FSK 仿真目录前言 (1)一、数字频带传输 (2)二、二进制频移键控(2FSK) (3)2.1 基本原理 (3)2.2 2FSK数字系统的调制原理 (4)2.3 2FSK数字系统的解调原理 (4)三、MATLAB介绍 (6)四、流程图 (8)五、仿真结果及分析 (9)5.1仿真结果 (9)5.2结果分析 (12)总结 (14)致谢 (15)参考文献 (16)附录 (17)前言对于大多数的数字传输系统来说,由于数字基带信号往往具有丰富的低频成分,而实际的通信信道又具有带通特性,因此,必须用数字信号来调制某一较高频率的正弦或脉冲载波,使已调信号能通过带限信道传输。

数字调制是用基带数字信号控制高频载波,把基带数字信号变换为频带数字信号的过程。

数字解调是已调信号通过信道传输到接收端,在接收端通过解调器把频带数字信号还原成基带数字信号的反变换。

频带传输系统是指包括数字调制和数字解调过程的传输系统。

从原理上来说,受调制载波的波形可以是任意的,只要已调信号适合于信道传输就可以了。

但实际上,在大多数数字通信系统中,都选择正弦信号作为载波。

这是因为正弦信号形式简单,便于产生及接收。

数字调制技术一般可分为两种类型:(1) 利用模拟方法去实现数字调制,即把数字基带信号当作模拟信号的特殊情况来处理;(2) 利用数字信号的离散取值特点键控载波,从而实现数字调制——键控法。

键控法的特点:数字电路实现,调制变换速率快,调整测试方便,体积小和设备可靠性高。

数字调制可分为二进制调制和多进制调制两种。

根据已调信号的结构形式可分为线性调制和非线性调制两种。

数字调制方式分为调幅、调频和调相三种基本形式一、数字频带传输数字频带传输是在计算机网络系统的远程通信中把数字信息调制成模拟音频信号后在发送和传输,到达接收端时再把音频信号解调成原来的数字信号的传输技术。

通信原理课程设计-2FSK数字通信系统的设计与建模

通信原理课程设计-2FSK数字通信系统的设计与建模

数字通信系统的设计与实现摘要:本设计为掌握利用MATLAB软件对2FSK数字频带通信系统的设计与建模来加深对所学知识的理解和掌握,通过MATLAB仿真平台,运用所学的理论知识与方法进行2FSK数字频带通信系统的设计。

经过软件调试与仿真最终设计出符合指标要求的数字频带通信系统。

设计的系统能够对输入的基带信号进行准确的调制与解调,并同时尽量减少外界信号的干扰,达到很好的效果。

关键词:2FSK;数字传输系统;MATLAB仿真目录第1章引言 (1)1.1 选题的目的和意义 (1)1.2 本课程设计的主要内容 (1)1.3 本课程设计的主要章节安排 (1)第2章 2FSK的基本原理 (2)2.1 总体思想 (2)2.2 2FSK数字系统的调制原理 (2)2.3 2FSK信号的解调及抗噪声性能 (3)2.3.1 2FSK信号的解调方法 (3)2.3.2 相干2FSK解调器的误码率 (4)2.3.3 包络2FSK解调器的误码率 (6)第3章 2FSK的仿真实现 (8)3.1 通信系统基本模型 (8)3.2 MATLAB程序设计 (8)3.3 MATLAB仿真波形实现 (12)第4章结束语 (16)参考文献 (17)第1章引言1.1 选题的目的和意义数字基带信号是低通型信号,其功率谱集中在零频附近,它可以直接在低通型信道中传输,然而,实际信道很多是带通型的,数字基带信号无法直接通过带通型信道。

因此,在发送端需要把数字基带信号的频谱搬移到带通信道的通带范围内,以便信号在带通型信道中传输,这个频谱的搬移过程称为数字调制,相应地,在接受端需要将已调信号搬回来,还原为基带信号,这个反搬移过程叫数字解调。

本课程设计目的在于熟悉2FSK调制及相干解调过程,通过Matlab软件予以仿真测试验证,并作一定的误码分析。

1.2 本课程设计的主要内容本设计为数字通信系统的设计与实现。

主要内容以利用MATLAB设计出2FSK数字通信系统的设计与建模为例,包括信源,调制,发送滤波器模块,信道,接收滤波器模块以及信宿;根据通信原理设计粗话各个模块的参数(例如码速率,滤波器截止频率等);用MATLAB软件观察仿真并进行波形分析,针对结果进行性能评价。

实验八-数字频带系统—2FSK系统

实验八-数字频带系统—2FSK系统

西安邮电大学《通信原理》软件仿真实验报告实验名称:实验八数字频带系统——2FSK系统院系:通信与信息工程学院专业班级:通工学生姓名:学号:(班内序号)指导教师:报告日期:2013年5月15日实验八数字频带系统——2FSK系统●实验目的:1、掌握2FSK信号的波形和产生方法;2、掌握2FSK信号的频谱特点;3、掌握2FSK信号的解调方法;4*、掌握2FSK系统的抗噪声性能。

●仿真设计电路及系统参数设置:数字频带系统——2FSK系统仿真设计电路图1 数字频带系统——2FSK系统仿真设计电路时间参数:No. of Samples =8192;Sample Rate =10000Hz单极性不归零码Rate = 100Hz,Amp =1V,Offset = 1V;载波1Amp = 1V,Freq = 1000Hz;载波2Amp = 1V,Freq= 500Hz;功率谱密度选择(dBm/Hz 1 ohm);带通滤波器8、22参数为850Hz-1150Hz,带通滤波器9、23参数为350Hz-650Hz;低通滤波器14、15、26、27参数为0-250Hz;采样器采样频率为100Hz;比较器,Compare=“>=”,True output=2v,False output=0v;仿真波形及实验分析:1、采用键控法,记录2FSK信号的波形和功率谱密度;2、调整载频,观察并记录2FSK信号功率谱密度的变化;载波1Amp = 1V,Frep = 1000Hz;载波2Amp = 1V,Frep =900Hz;带通滤波器8、22参数改为850Hz-1150Hz,带通滤波器9、23参数为750Hz-1050Hz;图2-1 2FSK信号的功率谱密度分析:由上看出2FSK信号功率谱由连续谱和离散谱两部分构成,离散谱出现在f1和f2位置,连续谱由两个中心位于f1和 f2处的双边谱叠加而成。

连续谱的形状随着两个载频之差|f1-f2|的大小而变化,若|f1-f2|≤fs则出现单峰;若|f1-f2|>fs,出现双峰。

西安邮电-数字频带系统2FSK系统

西安邮电-数字频带系统2FSK系统

班级:通工1510 姓名:石龙飞学号:03151307(20)软件仿真实验七数字频带系统—2FSK系统实验目的:1、掌握2FSK信号的产生方法;2、掌握2FSK信号波形和的频谱特点;3、掌握2FSK信号的解调方法;4、掌握2FSK系统的抗噪声性能。

知识要点:1、2FSK信号的产生方法;2、2FSK信号的波形和频谱;3、2FSK信号的解调方法;4、2FSK系统的抗噪声性能。

仿真要求:建议时间参数:No. of Samples = 8192;Sample Rate = 10000Hz双边功率谱密度选择(Power dBm in 50 ohm)1、采用键控法,记录2FSK信号的波形和功率谱密度;2*、调整载频,观察并记录2FSK信号功率谱密度的变化;3、采用相干解调,记录恢复信号的波形;4、采用包络检波,记录恢复信号的波形;5、在接收机模拟带通滤波器前加入高斯白噪声;建议Density in 1 ohm = 0.00002W/Hz,观察并记录恢复信号波形的变化;6*、改变高斯白噪声的功率谱密度,观察并记录恢复信号波形的变化。

实验报告要求:1、记录信源与2FSK信号的波形和功率谱密度,并分析其波形和频谱的特点;2*、记录2FSK信号功率谱密度的变化,并分析载频对其的影响;3、比较2FSK信号的两种解调方法;4*、记录恢复信号波形的变化,分析噪声对恢复信号的影响。

系统框图:仿真结果与实验分析:1、原始信号、已调信号、回复信号的波形频谱2、加入高斯白噪声时的误码率统计结论:2FSK有两个频率成分,因此需要两个频率不同的信号进行调制,结果通过频谱图也可以看出有两个明显的频率成分。

再加入高斯白噪声后,进行误码率统计,很明显可以看到,相干解调优于包络检波。

实验成绩评定表。

(完整版)基于MATLAB的2FSK数字通信系统设计

(完整版)基于MATLAB的2FSK数字通信系统设计

基于MATLAB的2FSK数字通信系统设计一、课程设计目的本次课程设计是对通信原理课程理论教学和实验教学的综合和总结。

通过这次课程设计,使同学认识和理解通信系统,掌握信号是怎样经过发端处理、被送入信道、然后在接收端还原。

要求学生掌握通信原理的基本知识,运用所学的通信仿真的方法实现某种传输系统。

能够根据设计任务的具体要求,掌握软件设计、调试的具体方法、步骤和技巧。

对一个实际课题的软件设计有基本了解,能进一步掌握高级语言程序设计基本概念,掌握基本的程序设计方法,拓展知识面,激发在此领域中继续学习和研究的兴趣,为学习后续课程做准备。

二、课程设计内容在信道中,大多数具有带通传输特性,必须用数字基带信号对载波进行调制,产生各种已调数字信号。

可以用数字基带信号改变正弦型载波的幅度、频率或相位中的某个参数,产生相应的数字振幅调制、数字频率调制和数字相位调制。

也可以用数字基带信号同时改变正弦型载波幅度、频率或相位中的某几个参数,产生新型的数字调制。

本课程设计旨在根据所学的通信原理知识,并基于MATLAB软件,设计一2FSK 数字通信系统。

2FSK数字通信系统,即频移键控的数字调制通信系统。

可以基于MATLAB 仿真软件以及Simulink仿真环境设计该系统。

频移键控是利用载波的频率变化来传递数字信息。

在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点间变化。

因此,一个2FSK信号的波形可以看成是两个不同载频的2ASK信号的叠加。

可以利用频率的变化传递数字基带信号,通过调制解调还原数字基带信号,实现课程设计目标。

三、设计原理在二进制数字调制中,若正弦载波的频率随二进制基带信号在f1和f2两个频率点间变化,则产生二进制移频键控信号(2FSK信号)。

二进制移频键控信号可以看成是两个不同载波的二进制振幅键控信号的叠加。

然后在MATLAB中产生高斯白噪声,这非常方便,可以直接应用两个函数,一个是WGN,另一个是AWGN。

二进制数字频带传输系统设计——2FSK系统

二进制数字频带传输系统设计——2FSK系统

目录1 技术指标 (1)2 基本原理 (1)2.1 2FSK的基本原理 (1)2.2 2FSK的调制原理 (2)2.3 2FSK的解调原理 (2)2.3.1 2FSK相干解调 (3)2.3.2 2FSK非相干解调 (3)3 建立模型描述 (4)3.1 基于SystemView的2FSK信号系统仿真设计 (4)3.2 基于simulink的2FSK信号系统仿真设计 (5)3.3基于m语言的2FSK信号系统仿真设计 (7)4 模型组成模块功能描述(或程序注释) (7)4.1基于SystemView的2FSK信号系统仿真设计模块的功能描述 (7)4.1.1 2FSK的调制与相干解调 (7)4.1.2 2FSK的调制与非相干解调 (8)4.2基于simulink的2FSK信号系统仿真设计模块的功能描述 (8)4.2.1 2FSK的调制与相干解调 (8)4.2.2 2FSK的调制与非相干解调 (9)4.3基于m语言的2FSK信号系统仿真设计的程序注释 (10)5 调试过程及结论 (14)5.1基于Sytemview的2FSK信号系统仿真设计的过程和结果 (14)5.1.1 2FSK调制与相干解调过程和结果 (14)5.1.2 2FSK调制与非相干解调过程和结果 (15)5.2基于simulink的2FSK系统仿真设计的过程和结果 (17)5.2.1 2FSK的调制与相干解调过程和结 (17)5.2.2 2FSK调制与非相干解调的过程和结果 (19)5.3基于m语言程序的2FSK仿真设计的结果 (20)6 心得体会 (22)7 参考文献 (23)二进制数字频带传输系统设计——2FSK系统1 技术指标设计一个2FSK 数字调制系统,要求:(1)设计出规定的数字通信系统的结构;(2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等);(3)用Matlab 或SystemView 实现该数字通信系统;(4)观察仿真并进行波形分析;(5)系统的性能评价。

数字频带系统——2FSK系统(完整资料).doc

数字频带系统——2FSK系统(完整资料).doc

西安邮电大学
《通信原理》软件仿真实验报告
实验名称:数字频带系统——2FSK系统院系:通信与信息工程学院
专业班级:XXXX
学生姓名:XXX
学号:XXXX (班内序
号)XX
指导教师:XXX
报告日期:XXXX年XX月XX日
●实验目的:
1、掌握2FSK信号的波形和产生方法;
2、掌握2FSK信号的频谱特点;
3、掌握2FSK信号的解调方法;
4*、掌握2FSK系统的抗噪声性能。

●仿真设计电路及系统参数设置:
时间参数:No. of Samples =8192;Sample Rate =10000Hz;
1、键控法,记录2FSK信号的波形和功率谱密度;
原始信号:
参数:f = 200HZ A = 2V OFFSET = 2V PN序列
键控已调信号:
2、相干解调,记录恢复信号的波形和功率谱密度;相干恢复:
3、包络检波,记录恢复信号的波形和功率谱密度;
4、接收机模拟带通滤波器前加入高斯白噪声(0.0005);
由这三个图我们可以得出:与2ASK的解调特点一样,相干解调的可靠性比包络检波高。

实验成绩评定一览表
系统设计与模块布局
系统设计合理,模块布局合理,线迹美观清楚
系统设计合理,模块布局较合理,线迹清楚
系统设计、模块布局较合理,线迹较清楚
系统设计基本合理,模块布局较合理,线迹较清楚系统设计不够合理,模块布局较合理,线迹较清楚
参数设置与仿真波形
参数设置合理,仿真波形丰富、准确
参数设置合理,仿真波形较丰富、较准确参数设置较合理,仿真波形较丰富
参数设置较合理,仿真波形无缺失、无重大错误。

2FSK调制与解调系统设计与仿真

2FSK调制与解调系统设计与仿真

目录一、2FSK调制与解调系统设计与仿真 (1)引言: (1)1 . 设计任务与要求 (1)1.1 设计要求 (1)1.2设计任务 (1)2 . 方案设计与论证 (2)2.1 2FSK数字系统的调制原理 (2)2.2 2FSK的解调方式 (3)2.2.1 非相干解调 (3)2.2.2 相干解调 (3)3 . 源程序与仿真结果 (4)3.1源程序代码 (4)3.2 仿真结果 (7)4. 系统性能分析 (12)5. 程序调试 (13)6. 参考文献 (13)二、PCM仿真与分析 (14)1.引言 (14)2.系统介绍 (14)3.PCM编码中抽样、量化及编码的原理: (15)3.1抽样 (15)3.2 量化 (15)3.3 编码 (17)4. 基于simulink的PCM编码和解码的仿真 (18)4.1仿真框图中各部分的简介 (18)4.2各部分参数设置 (20)4.3 示波器的显示波形 (21)5.误差产生原因分析 (22)6.参考文献 (22)三、心得体会 (22)一、2FSK调制与解调系统设计与仿真引言:2FSK信号的产生方法主要有两种:一种是调频法,一种是开关法。

这两种方法产生的2 信号号的波形基本相同,只有一点差异,即由调频器产生的2FSK信号在相邻码元之间的相位位是连续的,而开关法产生的2FSK信号则分别由两个独立的频率源产生两个不同的频率信号,故相邻码元之间的相位不一定是连续的。

本设计采用后者--开关法。

2FSK信号的接收也分为相干和非相干接收两种,非相干接收方法不止一种,它们都不利用信号的相位信息。

故本设计采用相干解调法。

1 . 设计任务与要求1.1 设计要求(1)学习使用计算机建立通信系统仿真模型的基本方法及基本技能,学会利用仿真的手段对于实用通讯系统的基本理论、基本算法进行实际验证;(2)学习现有流行通信系统仿真软件MATLAB7.0的基本实用方法,学会使用这软件解决实际系统出现的问题;(3)通过系统仿真加深对通信课程理论的理解,拓展知识面,激发学习和研究的兴趣;(4)用MATLAB7.0设计一种2FSK数字调制解调系统;1.2设计任务根据课程设计的设计题目实现某种数字传输系统,具体要求如下;(1)信源:产生二进制随机比特流,数字基带信号采用单极性数字信号、矩形波数字基带信号波形;(2)调制:采用二进制频移键控(2FSK)对数字基带信号进行调制,使用键控法产生2FSK 信号;(3)信道:属于加性高斯信道;(4)解调:采用相干解调;(5)性能分析:仿真出该数字传输系统的性能指标,即该系统的误码率,并画出SNR(信噪比)和误码率的曲线图;2 . 方案设计与论证频移键控是利用载波的频率来传递数字信号,在2FSK 中,载波的频率随着二进制基带信号在f1和f2两个频率点间变化,频移键控是利用载波的频移变化来传递数字信息的。

2FSK系统的设计、建模与计算机仿真分析

2FSK系统的设计、建模与计算机仿真分析

图 12 基带信号
图 13 已调信号
6
图 14 已调信号功率谱
图 15 非相干解调图Fra bibliotek16 相干解调
3.3
频移键控 2FSK 仿真结果与分析 图 6 输入的基带信号是二进制单极性伪随机码 (即 PN 序列) , 频率为 50Hz,
3.3.1 调制信号仿真分析 从图中可看出输入的序列为“1001111101”。当发送的双极性基带的码元为“1” 时有频率 100Hz 的载波为其进行调制,当发送的双极性基带的码元为“0”时有 频率 300Hz 的载波为其进行调制,键控法调制结果如图 7 所示。图 9 为调频法调 制的最终结果。 3.3.2 非相干解调仿真分析 由图 3 可知 2FSK 非相干解调就是分别对单极性码进行调频,再对调制后的 已调信号分别进行带通滤波, 滤波完进行包络检波,一个半波整流器和低通滤波 器与包络检波器是等价的。最后进行抽样判决。 图 12 为基带调制信号波形,图 15 为 2FSK 非相干解调低通滤波输出波形。 对照原基带信号可以知道, 波形在时间上稍有延迟,但系统的输入和输出信号基
频移键控 2FSK 系统的设计、建模与计算机仿真分析 1 前言 数字频率调制又称频移键控(FSK),二进制频移键控记作 2FSK。数字频移 键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。 2FSK 信号便是符号“1”对应于载频,而符号“0”对应于载频(与不同的另一 载频)的已调波形,而且与之间的改变是瞬间完成的。从原理上讲,数字调频可 用模拟调频法来实现, 也可用键控法来实现。模拟调频法是利用一个矩形脉冲序 列对一个载波进行调频,是频移键控通信方式早期采用的实现方法。2FSK 键控 法则是利用受矩形脉冲序列控制的开关电路对两个不同的独立频率源进行选通。 键控法的特点是转换速度快、波形好、稳定度高且易于实现,故应用广泛 SystemView 是一种适用于通信系统设计与仿真分析的软件工具, 可以对通信 系统的工作过程进行实时仿真分析。 本文采用 SystemView 构建 2FSK 调制解调仿 真系统。通过使用 SystemView 分析窗口观察信号的波形和频谱,我们能直接观 测到信号在传输过程中的波形和频谱的变化,这有助于我们更深刻地理解 2FSK 调制解调系统的工作原理和各个设备的功能。

通信原理课程设计基于Matlab的2FSK调制及仿真

通信原理课程设计基于Matlab的2FSK调制及仿真

课程设计课程名称通信原理系别:运算机科学系专业班级:通信一班目录一、设计题目 (3)2、设计原理 (3)3、实现方式 (4)4、设计结果及分析 (7)五、参考文献 (10)Ⅰ.设计题目基于Matlab 的2FSK 调制及仿真Ⅱ.设计原理数字频率调制又称频移键控,记作FSK ;二进制频移键控记作2FSK 。

2FSK 数字调制原理:一、2FSK 信号的产生:2FSK 是利用数字基带信号操纵在波的频率来传送信息。

例如,1码用频率f1来传输,0码用频率f2来传输,而其振幅和初始相位不变。

故其表示式为{)cos()cos(21122)(θωθωϕ++=t A t A FSK t时发送时发送"1""0"式中,假设码元的初始相位别离为1θ和2θ;112f π=ω和222f π=ω为两个不同的码元的角频率;幅度为A 为一常数,表示码元的包络为矩形脉冲。

2FSK 信号的产生方式有两种:(1)模拟法,即用数字基带信号作为调制信号进行调频。

如图1-1(a )所示。

(2)键控法,用数字基带信号)(t g 及其反)(t g 相别离操纵两个开关门电路,以此对两个载波发生器进行选通。

如图1-1(b )所示。

这两种方式产生的2FSK 信号的波形大体相同,只有一点不同,即由调频器产生的2FSK 信号在相邻码元之间的相位是持续的,而键控法产生的2FSK 信号,那么别离有两个独立的频率源产生两个不同频率的信号,故相邻码元的相位不必然是持续的。

(a) (b)图1-1 2FSK 信号产生原理图由键控法产生原理可知,一名相位离散的2FSK 信号可看成不同频率交替发送的两个2ASK 信号之和,即)cos(])([)cos(])([)cos(·)()cos()()(221122112θωθωθωθωϕ+-++-=+++=∑∑∞-∞=∞-∞=t nT t g a t nT t g a t t g t t g t n s n n s n FSK其中)(t g 是脉宽为s T 的矩形脉冲表示的NRZ 数字基带信号。

数字带通传输2FSK系统 设计报告

数字带通传输2FSK系统 设计报告
4.2
经过以上参数的设置后就可以进行系统的仿真,仿真结果如图4.2所示:
图4.2 2FSK的解调模块仿真
图4.3 加入高斯噪声后的波形
图4.4 2FSK误码率的计算
4.5
表1 信噪比与误码率的关系
信噪比
10
30
50
70
90
误码率
6.36E-01
6.36E-01
6.36E-01
6.36E-01
6.36E-01
2.
频移键控是利用载波的频率来传递数字信号,在2FSK中,载波的频率随着二进制基带信号在f1和f2两个频率点间变化。2FSK信号的产生方法主要有两种。一种可以采用模拟电匝来实现;另一种可以采用键控法来实现,即在二进制基带矩形脉冲序列的控制下通过开关对两个不同的独立源进行先通,使其在每一个码元期间输出f1和f2两个载波之一。这两种方法产生2FSK信号的差异在于:由调频法产生的2FSK信呈在相邻码元之间的相位是连续变化的,而键控法产生的2FSK信号,是由电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一不定期连续。频移键控是利用载波的频移变化来传递数字信息的。在2FSK中,载波的频率随基带信号在f1和f2两个频率点间变化。故其表达式为:
一般来说,数字调制与模拟调制的基本原理相同,但是数字信号有离散取值的特点。因此数字调制技术有两种方法:(1)利用模拟调制的方法去实现数字式调制,即把数字调制看成是模拟调制的一个特例,把数字基带信号当作模拟信号的特殊情况处理;(2)利用数字信号的离散取值特点通过开关键控载波,从而实现数字调制。这种方法通常称为键控法,比如对载波的振幅、频率和相位进行键控,便可获得振幅键控(Amplitude Shift Keying,ASK)、频移键控(Frequency Shift Keying,FSK)和相移键控(Phase Shift Keying,PSK)三种基本的数字调制方式。

通信原理课设 2FSK数字信号频带传输系统的设计与建模.

通信原理课设  2FSK数字信号频带传输系统的设计与建模.

目录1 绪论 (1)1.1 软件介绍 (1)1.2 题目分析及意义 (2)2 2FSK的调制 (3)2.1 2FSK的产生方法 (3)2.2 2FSK的调制原理图 (4)2.3 2FSK调制的建模方框图 (4)3 2FSK的解调 (5)3.1 2FSK的解调方法 (5)3.2 2FSK调制的建模方框图 (7)4 2FSK仿真 (8)4.1 VHDL建模 (8)4.2 调制仿真 (8)4.3 解调仿真 (9)5 心得体会 (10)6 参考文献 (10)附录1 调制VHDL代码 (11)附录2 解调VHDL代码 (12)附录3 本科生课程设计成绩评定表 (14)1 绪论1.1 软件介绍Xilinx公司的ISE软件平台具有界面友好、操作简单的特点,再加上Xilinx的FPGA 芯片占有很大的市场,使其成为非常通用的FPGA工具软件。

ISE作为高效的EDA设计软件工具,与第三方软件扬长补短,使软件功能越来越强大,为使用者提供了更加丰富的Xilinx设计资源。

ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,下面简要说明各功能的作用:1、设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。

2、综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的Leonardo Spectrum和Synplicity公司的Synplify,实现无缝链接3、仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2
武汉理工大学《数字通信系统》课程设计
变,这种现象也称为相位不连续,这是频率键控特有的情况。
2.1.3 基于 FPGA 的 FSK 调制方案
图2
FSK 调制方框图
图3
FSK 调制电路符号
2.2 FSK 的解调
数字频率键控(FSK)信号常用的解调方法有很多种如:
2.2.1 同步(相干)解调法
在同步解调器中,有上、下两个支路,输入的 FSK 信号经过 1 和 2 两个带 通滤波器后变成了上、下两路 ASK 信号,之后其解调原理与 ASK 类似,但判决 需对上、下两支路比较来进行。假设上支路低通滤波器输出为 x1 ,下支路低通滤 波器输出为 x2 ,则判决准则是:
1.2 设计要求
1.了解了 FSK 信号的基本概念后,利用 Quartus II 软件中的 VHDL 语言对 2FSK 频移键控系统就行调制、解调的程序设计。 2.程序设计运行成功后, 在利用 VHDL 语言对 FSK 频移键控系统进行调制、 解调的波形仿真。 3.最后通过 VHDL 语言制作出 FSK 频移键控系统调制、解调的电路图。
2.1.2 频率键控法
频率键控法也称频率选择法。它有两个独立的振荡器,数字基带信号控制转 换开关,选择不同频率的高频振荡信号实现 FSK 调制。
图1
频率健控法原理框图
键控法产生的 FSK 信号频率稳定度可以做得很高并且没有过渡频率,它的 转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的 输出电压通常不可能相等,于是 uFSK(t)信号图
图7
FSK 解调电路符号
4
武汉理工大学《数字通信系统》课程设计
3
FSK 设计的程序与仿真
3.1 FSK基于VHDL语言调制
3.1.1 FSK 调制程序
文件名: FSKTZ --功能:基于 VHDL 硬件描述语言,对基带信号进行 FSK 调制 LIBRARY IEEE; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY FSKTZ IS PORT(CLK:IN STD_LOGIC; START:IN STD_LOGIC; X:IN STD_LOGIC; Y:OUT STD_LOGIC); END FSKTZ; ARCHITECTURE BEHAV OF FSKTZ IS SIGNAL Q1:INTEGER RANGE 0 TO 11; SIGNAL Q2:INTEGER RANGE 0 TO 3; SIGNAL F1,F2:STD_LOGIC; BEGIN PROCESS(CLK) BEGIN IF (CLK'EVENT AND CLK='1') THEN IF START='0' THEN Q1<=0;
5 课程设计心得…………………………………………………………………… 20 6 参考文献………………………………………………………………………… 21
武汉理工大学《数字通信系统》课程设计
1
1.1 题目的意义
设计要求分析
数字调制技术是现代通信的一个重要内容,在数字通信系统中,由于数字信 号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带 信号进行数字调制(Digital Modulation)。数字调制同时也是数字信号频分复用的 基本技术。 数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字 型的正弦波调制,因而数字调制具有自身的特点,一般说来数字调制技术分为两种 类型: 一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号 的离散取值去键控载波,从而实现数字调制。后一种方法通常称为键控法。例如 可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控 (FSK)、相移键控(PSK)等调制方式。 移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干 扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。 以往的键控移频调制解调器采用“定功能集成电路+连线”式设计; 集成块多, 连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效 地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进 行设计,具有良好的可移植性及产品升级的系统性。
《数字通信系统》课程设计
课程设计任务书
学生姓名: 指导教师: 专业班级: 工作单位: 电信 班
信息工程学院
题 目: 2FSK 数字信号频带传输系统的设计与建模 初始条件:
(1)MAX+plus、Quartus II、ISE 等软件; (2)课程设计辅导书: 《通信原理课程设计指导》 (3)先修课程:数字电子技术、模拟电子技术、电子设计 EDA、通信原理
2.2.2 FSK 滤波非相干解调法
输入的 FSK 中频信号分别经过中心频为、的带通滤波器,然后分别经过包 络检波,包络检波的输出在 t=kTb 时抽样(其中 k 为整数) ,并且将这些值进行 比较。根据包络检波器输出的大小,比较器判决数据比特是 1 还是 0。
图5
非相干解调法原理框图
2.2.3 基于 FPGA 的 FSK 解调方案
3 FSK 设计的程序与仿真……………………………………………………………5
3.1 FSK 基于VHDL 语言调制…………………………………………………………5 3.1.1 FSK 调制程序………………………………………………………………5 3.1.2 FSK 调制仿真………………………………………………………………6 3.2 FSK 基于 VHDL 语言解调 ……………………………………………………… 10 3.2.1 FSK 调制程序 …………………………………………………………… 10 3.2.2 FSK 调制仿真 …………………………………………………………… 11
时间安排:第 19 周 参考文献:
江国强.EDA 技术与应用. 北京:电子工业出版社,2010 John G. Proakis.Digital Communications. 北京:电子工业出版社,2011
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日
本科生课程设计成绩评定表
《数字通信系统》课程设计
4 FSK基于FPGA实物测试…………………………………………………………14
4.1 FPGA原理图及其引脚分配………………………………………………………14 4.1.1 数码管电路介绍 ………………………………………………………… 14 4.1.2 按键电路介绍…………………………………………………………… 15 4.1.3 LED 电路介绍 …………………………………………………………… 16 4.2 FPGA 程序………………………………………………………………………17 4.3 FPGA 结果演示………………………………………………………………… 19




专业班级
课程设计题目:2FSK 数字信号频带传输系统的设计与建模 课程设计答辩或质疑记录:
成绩评定依据:
最终评定成绩(以优、良、中、及格、不及格评定)
指导教师签字: 年 月 日
《数字通信系统》课程设计


1 设计要求分析…………………………………………………………………… 1
1.1 题目的意义………………………………………………………………………1 1.2 设计要求…………………………………………………………………………1
1
武汉理工大学《数字通信系统》课程设计
2
2.1 FSK 的调制
FSK 设计的原理与方案
频移键控即 FSK(Frequency-Shift Keying)数字信号对载波频率调制,主 要通过数字基带信号控制载波信号的频率来来传递数字信息。在二进制情况下, “1”对应于载波频率, “0”对应载波频率, FSK 但是它们的振幅和初始相位不变化。 信号产生的两种方法:
2.1.1 直接调频法
用二进制基带矩形脉冲信号去调制一个调频器, 使其输出两个不同频率的码 元。一般采用的控制方法是:当基带信号为正时(相当于“1”码) ,改变振荡器谐 振回路的参数(电容或者电感数值) ,使振荡器的振荡频率提高(设为 f1) ;当基 带信号为负时 (相当于“0”码) , 改变振荡器谐振回路的参数 (电容或者电感数值) , 使振荡器的振荡频率降低(设为 f2) ;从而实现了调频。这种方法产生的调频信 号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不 能做得太快,但是其优点是由调频器所产生的 FSK 信号在相邻码元之间的相位 是连续的。
5
武汉理工大学《数字通信系统》课程设计
PROCESS(CLK) BEGIN IF (CLK'EVENT AND CLK='1') THEN IF START='0' THEN Q2<=0; ELSIF Q2=1 THEN F2<='0';Q2<=0; ELSIF Q2<=0 THEN F2<='1';Q2<=Q2+1; ELSE F2<='0';Q2<=Q2+1; END IF; END IF; END PROCESS; PROCESS(CLK,X) BEGIN IF (CLK'EVENT AND CLK='1') THEN IF X='0' THEN Y<=F1; ELSE Y<=F2; END IF; END IF; END PROCESS; END BEHAV;
x1 x2 0 x1 x2 0
相关文档
最新文档