数字电路计时器
计时器电路仿真实验报告
计时器电路仿真实验报告篇一:多功能数字时钟实验报告重庆交通大学项目名称:专业班级:学生姓名:小组成员:开放性实验报告(A类)多功能数字钟电路设计电子2班何昕泽目录多功能数字时钟设计 ................................................ . (3)摘要 ................................................ .. (3)1.系统原理框图 ................................................ . (4)2.单元电路设计与仿真 ................................................ . (5)2.1时间脉冲产生电路 (5)2.2时间计数器电路 ................................................ .. 62.3 十二与二十四小时的切换 (8)2.4校时电路 ................................................ .. (8)2.5报时电路 ................................................ .. (9)2.6电路总图 ................................................ .. (9)3.PCB板的制作 ................................................ (10)3.1 原理图的绘制 ................................................ (10)3.2 PCB的制作 ................................................ .. (11)3.3 PCB 图 ................................................ (12)4.心得与体会 ................................................ .. (12)附录原件清单 ................................................ .............. 13 附件 1 仿真电路图 .......................................... 错误!未定义书签。
数字显示30秒倒计时器课程设计报告
电子技术课程设计报告设计题目:数字显示30秒倒计时器电路设计1、课程设计目的、意义设计目的:(1)依照原理图分析各单元电路的功能;(2)熟悉电路中所用到的1各集成块的1管脚及其功能;(3)进行电路的装接、调试,直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告。
设计意义:数字显示30秒倒计时器是一个简单的数字电路,但是它能够扩展到很多实际应用当中来,比如篮球倒计时器、交通灯倒计时器等等。
2、设计题方案比较、论证设计方案:分析设计任务,计数器和操纵电路是系统的要紧部份。
计数器完成30秒计时功能,而操纵电路具有直接操纵计数器的启动设计、译码显示电路的显示和灭灯功能。
当启动开关闭合时,操纵电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示“30”字样;当启动开关断开时,计数器开始计数;处于维持状态。
系统设计框图如图2-1所示。
数字显示30秒倒计时器实验电路如图2-2所示。
图2-1图2-23、各单元电路设计,元器件参数计算、选择、电路图绘制,整体电路图递减计数器模块计数器选用汇总规模集成电路74LS192进行设计较为简单,74LS192是十进制可编程同步加锁计数器,它采纳8421码二-十进制编码,而且有直接清零、置数、加锁计数功能。
图2-3是74LS192外引脚。
图中CPU 、CPD别离加计数、减计数的时钟脉冲输入端(上升沿有效)。
LD是异步并行置数操纵端(低电平有效),CO、BO别离是进位、借位输出端(低电平有效),CR是异步清零端,D3-D是并行数据输入端,Q3-Q是输出端。
74LS192的功能表见下表所示。
秒信号产生器秒信号产生器的电路是利用 555 按时器(图3-1)组成的秒信号发生器。
NE555 芯片有单稳态电路功能,可发生方波信号,可适当的选择电阻、电容,使其输出信号的周期为 1 秒。
本电路输出脉冲的周期为:T=*(R1+2*R2)*C,假设 T=1s,令 C=10μF,R1=39kΩ,那么R2=51k Ω。
数字电子计时器
数字电子计时器吴东城(常州工学院计算机信息工程学院10计一,江苏常州213002)摘要:该数字电子计时器是用数字电路技术实现时、分、秒计时的装置,具有计时及校时功能。
该数字电子计时器的时间周期为24小时,计时器显示时、分、秒,计时器的时间对应现实生活中的时钟的一秒。
根据日常生活中的观察,数字电子计时器设计成型后供扩展的方面很多——涉及到定时自动报警、按时自动打铃、定时广播、定时启闭路灯等。
因此,与机械式时钟相比具有更高的可视性和精确性,而且无机械装置,具有更长的使用寿命,所以研究数字钟及扩大其应用,有着非常现实和实际的意义。
数字电子计时器是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
但从知识储备的角度考虑,本设计是以中小规模集成电路设计数字钟的一种方法。
数字钟包括组合逻辑电路和时序电路关键词:计时器;计数器;校时;组合逻辑电路;时序逻辑电路;译码。
0 概述0.1课题的现状:由于该课题应用较为普遍,所以实现方法很多。
基于单片机原理实现,用数字电路实现,用EDA技术实现,还可用F201448技术工艺,当然,还可以通过编程实现。
0.2本课题设计的目的、意义:数字电子计时器是一种用数字电路技术实现时、分、秒计时的装置,与机械式计时器相比具有更高的准确性和直观性,企且无机械装置,具有更长的使用寿命,因此应该得到广泛使用。
本次课程设计的目的,就是为了了解数字电子计时器的原理,从而学会制作数字电子计时器,而且通过计时器的制作机一部了解各种在制作中用到的中小规模集成电路的作用及实用方法,且由于数字电子计时器包括组合逻辑电路和时序逻辑电路,通过它可以进一步学习和掌握两种电路的原理与使用方法。
0.3课题内容、要求、达到的性能指标:(1)根据计时器的方框图和指定器件,完成计时器的主体电路设计及实验;(2)利用异步时序电路的方法,设计一个24进制的时空电路,要求当计数器运行到23时59分59秒是,秒个位计数器在接受一个秒脉冲信号后,计数器自动显示为00时00分00秒,完成进制的计时要求;(3)具有校时、分、秒;(4)在实验板上安装、调试出课题所要求的计时器;(5)画出逻辑电路图,时序图,并写出报告。
数字电子计时器课程设计
数字电子计时器课程设计一、课程目标知识目标:1. 学生能理解数字电子计时器的原理和组成,掌握基础电子元件的功能和使用方法。
2. 学生能描述数字电子计时器的工作过程,包括计时、清零和预设功能。
3. 学生能够解释数字电子计时器中数字显示的原理,理解二进制与十进制的转换。
技能目标:1. 学生能够运用所学的电子元件,设计并搭建一个简单的数字电子计时器电路。
2. 学生通过实际操作,掌握测试和调试电子计时器的方法,能够解决基本的故障问题。
3. 学生能够运用逻辑思维和问题解决技巧,对电子计时器进行改进和创新。
情感态度价值观目标:1. 学生通过课程学习,培养对电子技术的兴趣和好奇心,增强对科学探究的热情。
2. 学生在小组合作中,学会分享观点,倾听他人意见,发展团队协作精神。
3. 学生通过实际操作,体验工程的实用性,培养工程意识,认识科技对生活的影响。
课程性质:本课程为实践性强的设计与制作课程,结合电子技术基础知识,培养学生的动手能力和创新思维。
学生特点:假设学生为八年级,具有一定的物理基础和动手能力,对电子技术有初步认识,对实践活动充满兴趣。
教学要求:课程应注重理论与实践相结合,鼓励学生主动探索和动手实践,强调安全操作和精确测量。
通过课程目标的实现,使学生能够综合运用所学知识,创造性地解决实际问题。
二、教学内容1. 数字电子计时器原理:- 介绍计时器的功能与组成,参照教材第二章“数字电路基础”。
- 讲解晶体管、集成电路等基本电子元件的工作原理。
2. 数字电子计时器电路设计:- 分析计时器电路图的构成,参照教材第四章“时序逻辑电路”。
- 指导学生进行电路图的绘制,选择合适的电子元件。
3. 数字显示原理与转换:- 详述七段显示器的显示原理,参照教材第三章“数字显示技术”。
- 解释二进制与十进制的转换方法,并进行实际操作演示。
4. 电路搭建与测试:- 安排学生分组进行电路搭建,参照教材第五章“电路搭建与调试”。
- 教授测试与调试技巧,指导学生解决电路中可能出现的问题。
计数器在数字电路中的应用
计数器在数字电路中的应用
计数器是数字电路中的一种基本组件,广泛应用于各种数字系统中,如计算机、计时器、频率计等。
其作用是在电路中产生一定的时序信号,以计数器为基础的数字逻辑电路可以完成很多复杂的功能。
计数器的原理是通过计数器内部所包含的多个触发器进行状态的变化和寄存。
常见的计数器类型有二进制计数器、BCD计数器、十进制计数器等。
在数字电路中,计数器的应用十分广泛,下面就是一些常见的应用:
1.计时器
计时器是利用计数器的计数功能实现的,可以在数字电路中对时间进行精确的计算和测量。
在实际应用中,计时器广泛应用于工业、交通、军事等领域,如定时器、计时器、时间控制开关等。
2.频率测量器
频率测量器利用计数器的计数功能测量电路中电流或电压的周期数,从而得出电路中频率的大小。
通过频率测量器可以检测数字电路的运行状况,也可以作为一些仪器的辅助工具,如信号发生器和频率计。
3.分频器
分频器是一种常见的数字电路,基于计数器的频率除法功能实现。
通过分频器,可以将信号的频率降低,使得信号更加合适于数字电路中,可用于钟摆、通信、遥控器等场合。
4.计数器触发开关
计数器触发开关是一种基于计数器工作方式的触发电路,可以在电路中实现自动转换的功能。
在实际应用中,计数器触发开关广泛应用于信号控制器、调节器、遥控开关等场合。
总之,计数器在数字电路中的应用非常广泛,在现代信息技术的快速发展中其作用愈加凸显。
同时由于其简单的工作原理和普及性,计数器将持续被更多的电路设计和应用领域中广泛使用和发展着。
数电课程设计-30秒倒计时器综述
30秒倒计时计数器设计——数字电子计数基础课程设计学院:计算机学院专业班级:通信工程10-2班时间:2013年1月7日目录设计要求 (3)正文一、倒计时器组成及原理 (3)1.1倒计时计数器组成 (3)1.2工作原理 (3)二、拟定设计方案 (4)2.1用Multisim进行仿真设计 (4)2.2设计实现数码管显示 (4)2.3设计555定时振荡实现秒振荡发生功能 (4)2.4设计实现减法计数功能 (5)2.5设计实现二位数减法计数功能 (5)2.6设计实现反馈电路实现30秒计数功能 (5)2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5)2.7.1清零/复位电路 (5)2.7.2暂停/继续计数电路 (6)2.7.3启动电路 (7)2.8设计实现闪烁报警电路 (8)三、功能说明总结 (9)四、课程设计小结 (9)参考文献 …………………………………………………………………………………10 附录:一、电路原理图 .................................................................................11 二、元器件明细表 (11)设计要求:设计30秒倒计时计数器。
30秒倒计时器的设计功能要求包括: 1、具有30秒倒计时功能;2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能;3、计时器计时间隔为1秒;4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。
5、计时器暂停计数时,数码管闪烁提醒;正文:一、倒计时器组成及原理1.1倒计时计数器组成倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下:倒计时计数器原理组成框图秒定时振荡 发生器减法计数器 数码管译码器 七段数码管显示控制电路闪烁报警电路图11.2工作原理当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减法计数器的控制端实现对电路保留、启动、清零/复位和暂停/继续计数功能的控制;利用JK 触发器的翻转状态特性和译码器BI/RBO端的控制实现闪烁报警功能。
(完整word版)数电课程设计-30秒倒计时器
30秒倒计时计数器设计——数字电子计数基础课程设计学院:计算机学院专业班级:通信工程10-2班时间:2013年1月7日目录设计要求 (3)正文一、倒计时器组成及原理 (3)1.1倒计时计数器组成 (3)1.2工作原理 (3)二、拟定设计方案 (4)2.1用Multisim进行仿真设计 (4)2.2设计实现数码管显示 (4)2.3设计555定时振荡实现秒振荡发生功能 (4)2.4设计实现减法计数功能 (5)2.5设计实现二位数减法计数功能 (5)2.6设计实现反馈电路实现30秒计数功能 (5)2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5)2.7.1清零/复位电路 (5)2.7.2暂停/继续计数电路 (6)2.7.3启动电路 (7)2.8设计实现闪烁报警电路 (8)三、功能说明总结 (9)四、课程设计小结 (9)参考文献 (10)附录:一、电路原理图 (11)二、元器件明细表 (11)设计要求:设计30秒倒计时计数器。
30秒倒计时器的设计功能要求包括:1、具有30秒倒计时功能;2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能;3、计时器计时间隔为1秒;4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。
5、计时器暂停计数时,数码管闪烁提醒;正文:一、倒计时器组成及原理1.1倒计时计数器组成倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下:图11.2工作原理当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减法计数器的控制端实现对电路保留、启动、清零/复位和暂停/继续计数功能的控制;利用JK 触发器的翻转状态特性和译码器BI/RBO端的控制实现闪烁报警功能。
篮球24秒计时器(数电)
目录摘要 (1)第1章绪论 (2)1.1 毕业设计背景 (2)1.2 设计任务及要求 (2)1.2.1 设计任务 (2)1.2.2 基本要求及目标 (2)第2章电路框图及工作原理 (3)2.1 设计方案 (3)2.2 电路框图 (3)第3章单元电路的设计 (5)3.1 24进制计数器的设计 (5)3.2 数码显示电路的设计 (6)3.3 秒脉冲的设计 (8)3.4 控制开关电路的设计 (10)3.5 报警电路的设计 (10)3.6 整机工作原理 (11)第4章电路仿真 (12)结论 (16)参考文献 (17)附录1 篮球竞赛24秒计时器总电路原理图 (18)附录2 元器件清单 (19)摘要随着电子技术的飞速发展,社会步入了信息时代,人们的生活水平在逐步提高,因而对电子产品提出了更高的要求。
篮球竞赛24秒计时器可用于篮球比赛中对球员持球时间24秒限制。
不仅能进行时间追踪,还具有直接清零、启动、暂停、连续以及光电报警功能,同时采用七段数码管来显示时间,可以方便的实现断点计时功能,当计时器递减到零时,会发出报警信号。
在社会生活中也具有广泛的应用价值。
计时器主要是由计时电路、控制电路、以及译码显示电路3个部分组成。
电路结构简单,功能方便、快捷。
关键字计时器;光电报警;七段数码管;电路第1章绪论1.1 设计意义随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。
例如,在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。
此次设计的“篮球竞赛24秒计时器”就可用于篮球比赛中,用于对球员持球时间24秒限制。
一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。
1.2 设计任务及要求1.2.1 设计任务1.显示24秒计时功能。
2.设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。
电子电工实验报告——数字计时器
南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。
② 了解各单元再次组合新单元的方法。
2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。
3.实验内容① 设计实现信号源的单元电路。
(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。
③ 设计实现快速校分单元电路。
含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。
④ 加入任意时刻复位单元电路(开关K2)。
⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。
二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。
电阻:1KΩ一只,3KΩ一只,150Ω四只。
电容:0.047uF 一只。
LED共阴双字屏二块。
1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。
图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。
数字电路技术基础-倒计时器实验
倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。
通过key1控制切换计数与暂停状态。
当key1按下时,开始倒计时,再次按下,暂停计时。
通过key2控制置初值。
暂停状态下,当key2按下时,回到初始状态,数码管显示2500。
设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。
提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。
使用课前预习的状态机模块,控制25分钟倒计时模块。
调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。
1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。
数字电子钟
引言数字电子钟是采用数字电路实现对时,分,秒数字显示的计时装置。
数字钟是人们生活中不可少的用品,随处可见,如车站,码头,剧院,办公室等公众场合,可以说给人们的生活,工作,娱乐带来不少方便,又因为数字集成电路的发展采用了先进的石英技术,使数字钟具有走时准确,性能稳定,携带方便等优点。
虽然现在市场上又现成的数字钟集成电路芯片卖,但这里所写的自制电子钟可以满足一些特殊需要,列如可以随意设置时,分,秒的输出,改变显示数字的大小等。
又因为现在科技使得集成电路技术发展迅速,尤其是中规模集成电路技术的发展,使电子钟变得更加体积小,省电,计时准确,因此,在这里设计制作一个数字电子钟有着一定的意义,同时也兼顾了我们在学校所学的数字电路知识。
关键词:数字电子钟走时准确设计制作目录述论一.数字电路基本组成框图二.组成部分及各部分作用2.1 单元电路2.1.1 振荡电路2.1.2 时分秒显示电路2.1.3 译码显示电路2.1. 4 校时电路2.2 进制电路2.3 基本逻辑门电路三.电子钟触发器四.脉冲信号的产生五.调试六.结论七.参考文献述论多功能数字电子钟是由晶体振荡器、计数器、译码和数码显示电路、校时电路等组成。
该电子钟可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,改变显示数字的大小等等。
并且由于集成电路技术的发展,特别是MOS集成电路技术的发展,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。
此次设计运用了学院中所学的数电、模电等知识,利用元器件等工作原理,制成了具有校时功能的数字电子钟。
电路主要采用中规模CMOS集成电路.本系统的设计电路由脉冲逻辑电路模块、时钟脉冲模块、电源模块、时钟译码显示电路模块、校时模块等部分组成。
采用电池作电源,采用低功耗的CMOS芯片及液晶显示器,有效的解决了功耗问题,能更好地为人们的生活带来便利。
一数字电子钟的基本组成框图二组成部分及各部分作用数字钟是一个将‚时‛、‚分‛、‚秒’’显示于人的视觉器官的计时装置。
数字计时电路设计
数字计时电路设计摘要:数字计时电路的应用非常广泛,相比于传统的计时电路,它不仅走时准确,显示直观,而且不需要机械传动和频繁的调整。
鉴于它的广泛应用和诸多优点,本人采用了74LS系列中小规模集成芯片和RS触发器的校时电路设计了一个数字计时电路,它以12小时为周期,可以显示小时,分钟和秒,并具有小时,分钟校对功能。
本数字计时电路系统的整体设计是由振荡器,分频器,计数器,显示器和校时电路组成的,通过本论文设计,希望使其方法更系统,电路更简单。
关键词:数字计时电路;计数器;逻辑设计目录引言 (1)1 设计内容、方案及要求 (1)1.1 设计内容 (1)1.2 设计方案 (1)1.3 设计要求 (2)2 主体电路各单元的工作原理与设计 (2)2.1 振荡器 (2)2.1.1 振荡器的工作原理 (2)2.1.2 振荡器的设计 (3)2.2 分频器 (3)2.2.1 分频器的工作原理 (3)2.2.2 分频器的设计 (4)2.3 计数器 (4)2.3.1 60进制计数器工作原理 (4)2.3.2 12进制计数器工作原理 (4)2.4 译码与显示电路 (6)2.4.1 显示器的工作原理 (6)2.4.2 译码器的工作原理 (7)2.4.3 译码与显示电路的设计 (7)2.5 校时电路 (7)2.5.1 校时电路的工作原理 (7)2.5.2 校时电路的设计 (8)3 设计并绘制整机图 (9)总结 (10)致谢 (11)参考文献 (11)引言从上个世纪末到现在,电子产品已经悄无声息地渗透到社会的各行各业。
随着社会的快速发展,我们的生活节奏越来越快,电子产品的性能也越来越好、更新速度也越来越快,对社会生产力的提高、现代化和信息化社会的建立提供了持续的动力。
一寸光阴一寸金,时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。
如果遇到重要事情,一时的耽误甚至会酿成大祸。
而数字计时器的出现则减少了这些灾难的出现,并给人们生产生活带来了极大的方便。
计时器计时器
摘要本设计是脉冲数字电路的简单应用,设计了篮球竞赛12分钟和24秒倒计时器。
此计时器可以直接清零、启动、暂停和连续以及具有报警功能,同时应用了七段数码管来显示时间。
此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出报警信号。
本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能。
本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。
控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。
当控制电路的置数开关闭合时,在数码管上显示数字24,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警与蜂鸣信号。
同样当12分钟递减到零时也会出现声音的提醒。
关键词:计数器24秒倒计译码显示电路控制电路报警电路目录第一章总体设计思路、基本原理和框图 (3)1、设计要求 (3)2、基本原理 (3)3、总体设计框图 (4)第二章单元电路设计与方案比较(各单元电路图) (4)1、秒脉冲发生器的设计 (4)2、秒、分倒计数器的设计 (5)3、译码器和显示器的设计 (7)4、节次控制电路的设计 (7)第三章器件选择 (7)1 设计所需器件 (7)2 器件介绍 (8)(一)十进制可逆计数器74LS192(二)二输入四与非门74LS00第四章总原理图 (9)第五章安装调试,性能测试和结果分析 (10)第六章心得体会和课程总结 (11)第七章附录(元器件清单) (12)第八章参考文献 (13)第一章、总体设计思路、基本原理和框图一、设计要求1、篮球比赛采取四进制,每节12分钟,要求能够计时;2、篮球比赛采取进攻24秒制,要求能够倒数计时;3、要求时间用数码管表示出来;4、要求可以手动控制计时,即能够随时暂停,启动后可以继续读数,并能够对技数进行清零;5、要求每节结束、全场结束和24秒结束时才能够自动进行声音提示。
数字电路课程设计数字秒表
2.5冲不可以通过与门,计数电路就会停止,实现暂停 功能,当S1闭合时,恢复计数;当S1闭合,S2断开时,R0(1) R0(2)都 接低电平,实现计数功能。当S1闭合,S2闭合时,R0(1) R0(2)都接高 电平,实现清零功能。将S1和S2想与是为了实现当电路处于暂停状态时 不能使用清零功能。
2. 设计方案
2.1实验设计原理:
汽车尾灯控制器由时钟发生电路、分频电路、译码电路、开关驱动 电路及终端显示电路五部分组成。本实验设计时钟脉冲源采用电路板上 的1000HZ脉冲,74ls90具有分频功能, 分频电路由三片74ls90芯片组成,最终分频为1HZ。74ls738芯片及四个 与门组成译码电路, 74ls138芯片A0、A2输入端接入输入脉冲,A2端接低电平输出端, Y0、 Y1 、Y4、Y5分别与四个与门与LED灯相接。开关驱动电路由与门、或 门、非门、与非门等门电路连接而成。
11 0 X 11 X 0 X X 11
X 0 X0 0 X 0X 0 X X0 X 0 0X
Q3 Q2 Q1 Q0
0 0 00 0 0 00 1 0 01
计数
表2 当R0(1) R0(2)都接高电平时,实现清零功能。当R0(1) R0(2)都接低 电平时,实现计数功能。故将4个十进制计数器的R0(1) R0(2)相连, 由开关S2控制,实现计数和清零功能。
(3)夜间行车电路控制图
逻辑开关S3接高电位、S4接低电位时
D5、D6灯同时闪烁,表示夜间停车
逻辑开关S3、S4同时接高电位时D5、D6 灯长亮,表示夜间行车。
3. 工作总结及心得体会
实验中电路接线仍然是一个很麻烦的过程,由于这个实验门电路较多,所 以一不小心就会接错线路,或者忘记接了哪个端口。理论上成熟之后,实际操 作是一个很重要的过程。
-数电报告-30秒计时器
目录简介1 1 系统组成原理 (2)2 元器件的选择 (4)2.1 计时器电路元器件的选择 (4)2.2 译码显示电路元器件的选择 (5)七段发光二极管(LED)数码管 (5)2.3 555定时器 (6)3 各部分设计及其原理图 (8)3.1 30秒循环计数器的原理图 (8)3.2 开关控制电路 (9)3.3 秒脉冲发生电路 (9)3.4 报警电路 (10)4 总原理图 (10)5 课设小结 (11)6 参考文献 (12)简介随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。
电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。
在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。
在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。
本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。
一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。
本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为30秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。
同时本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。
此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。
此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。
数字计时器
电工电子综合实验报告—数字计时器院系:电光学院专业:通信工程班级:07042201学号:0704220100姓名:* * *指导教师:李元浩时间:2009.09.17—2009.09.20目录1.设计电路功能要求(1)2.设计电路原理图(1)3.电路逻辑原理图及工作原理(2)4.各单元电路原理及逻辑设计4.1脉冲发生电路(2-3)4.2计时电路(3-4)4.3显示电路(4)4.4清零电路(5)4.5校分电路(5)4.6报时电路(6)5.电路安装与调试说明(6-7) 6.对电路的改进意见(7)7.收获体会及建议(7)8.设计参考资料(7)9.附录(8-10)1.设计电路功能要求本实验要求设计一个0分00秒-9分59秒的多功能数字计时器。
数字计时器是由脉冲发生电路,计时电路,译码显示电路,和控制电路等几部分组成。
其中控制电路由清零电路,校分电路,和报时电路组成。
该数字计时器可以在控制电路的作用下具有开机清零、手动清零、快速校分和整点报时功能。
①.设计一个脉冲发生电路,为计时器提供秒脉冲,为报时电路提供驱动蜂鸣器发声的脉冲信号;②.设计计时和显示电路,将分及秒的个位、十位分别在七段显示器上显示出来,从0分0秒开始,计到9分59秒,然后重新计数。
将分及秒的个位、十位分别在七段显示器上显示出来,七段显示器循环显示数字000~959;③.设计清零电路,实现手动及开机清零;④.设计校分电路,在校分开关控制下实现分校正;⑤.设计报时电路,使数字计时器实现在9分53秒、9分55秒、9分57秒低音(1KHz)报时,以及在9分59秒高音(2KHz)报时;2.设计电路原理图图2-1 电路原理图3.电路逻辑原理图及工作原理数字计时器的原理方框图如图3-1所示,该电路系统由脉冲发生电路、计时和显示电路、清零电路、校分电路和报时电路和其它附加电路等几部分组成的。
脉冲发生电路由振荡器和分频器组成,振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准的秒脉冲,同时也可得到其他不同频率的脉冲。
如何正确使用数字电路中的计时器
如何正确使用数字电路中的计时器数字电路中的计时器是一种非常重要的电子元件,广泛应用于各种电子设备,包括计算机、手机、家用电器等。
正确使用数字电路中的计时器对于确保设备的正常运行至关重要。
本文将介绍如何正确使用数字电路中的计时器,以确保设备的准确计时和稳定性。
一、了解计时器的基本原理在正确使用数字电路中的计时器之前,我们首先需要了解计时器的基本原理。
计时器是一种能够根据输入信号的变化来进行计时的电子元件。
它通常由时钟信号、输入信号、计数器和输出信号等组成。
时钟信号用于提供计时的基准,输入信号则是需要计时的信号,计数器负责计数,输出信号则是计时结果的表达。
二、确定计时器的工作方式在使用数字电路中的计时器之前,我们需要确定计时器的工作方式。
不同的计时器具有不同的工作模式,例如单稳态、BCD计数和计数等。
通过了解计时器的工作方式,我们可以在使用过程中选择合适的模式,并进行正确的设置和配置,以满足实际需求。
三、连接计时器的输入和输出信号正确连接计时器的输入和输出信号对于使用数字电路中的计时器非常重要。
我们需要将计时器的输入信号与需要计时的信号进行连接,以便计时器能够正确地接收和计数输入信号。
同时,我们还需要将计时器的输出信号与其他电子元件进行连接,以实现计时结果的输出和显示。
四、设置计时器的参数和时钟信号在使用数字电路中的计时器之前,我们还需要设置计时器的参数和时钟信号。
不同的计时器具有不同的参数设置方式,包括计时范围、精度等。
我们需要根据实际需求设置合适的参数,并使用稳定可靠的时钟信号,以确保计时器的准确计时和可靠性。
五、正确处理计时器的计时结果在计时器计时结束后,我们需要正确处理计时结果。
根据实际需求,我们可以选择将计时结果显示或输出到其他设备,例如LED数码管、数码显示屏等。
同时,我们还需要及时清零计时器,以便下一次计时的开始。
六、进行计时器的调试和测试在使用数字电路中的计时器之前,我们需要进行计时器的调试和测试。
倒数计时器数电课程设计
倒数计时器数电课程设计
倒数计时器数电课程设计
一、课程目标
本课程旨在通过实验,让大家了解倒数计时器的概念,演示该计时器的倒计时功能,并能够对其工作原理进行分析。
二、所用器材
1、电压源
2、电阻
3、可调电阻
4、NAND门
5、电平转换器(1N914或2N914)
6、LED(发光二极管)
7、二极管(1N4001)
8、电解电容
9、人机界面
三、实验原理
倒数计时器实际上是一种计数器,它借助外部电压源,由内部芯片完成计数,从而达到计时的功能。
它借助用可调电阻和NAND门等元件来设定计时的参数,并在计时结束后通过LED指示计时结束的信号,它的原理图如下:
四、实验步骤
1、安装器件
组装实验板,将各种器件安装在实验板上,并按照原理图对其进行连接,以达到倒数计时器的功能。
2、调试计时参数
按照原理图,利用可调电阻和NAND门等元件来调试倒数计时器的计时参数。
3、连接电源
把电源的正负极连接到实验板上,把实验板的人机界面连接到电脑上,把程序上传到实验板上。
4、实验
打开电源,LED会开始显示计时时间,程序监控到计时结束的信号后,会把LED显示计时结束的信号。
五、实验报告
完成实验前,实验者需要把实验所得的数据和结果进行系统的总结和分析,并作出相应的说明,以展示实验者对实验的理解程度和完成程度。
数字时钟设计完全数字电路
数字时钟设计完全数字电路Modified by JEEP on December 26th, 2020.数字时钟设计姓名学号专业电子信息技术指导教师成绩日期基于555的数字时钟显示摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,通过555定时器改装的多谐震荡器发出的脉冲频率具有一定的准确性。
在这次设计中对分频器、计数器、、译码器和显示器进行研究编译,并完成了各种器件的编译工作,实现数字钟的功能。
有准确计时,以数字形式显示时、分、秒的时间和校时功能。
秒和校时功能都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。
在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。
并且要用数码管显示时、分、秒,各位均为两位显示。
1引言随着科技的快速发展,数字电子钟在实际生活中的应用越来越广泛,小到普通的电子表,大到航天器等高科技电子产品中的计时设备。
数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有整点报时附加功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。
作为电子技术的一名学生掌握并能够独立自主设计一个数字电子钟是必要和必须的,既可以加深对课本上理论知识的理解又能锻炼自己的思考和解决问题的能力。
于是,经过查阅许多相关书籍和浏览许多网络未找到目录项。
资源,我做了这款简单数字电子钟的设计。
2 方案论证原理设计和功能描述2.1.1 数字计时器的设计思想要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。
而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。
数字集成电路---计时器
定时器/计数器简称定时器,其作用主要包括产生各种时标间隔、记录外部事件的数量等,是微机中最常用、最基本的部件之一。
定时和计数的本质是相同的,它们都是对一个输入脉冲进行计数,如果输入脉冲的频率一定,则记录一定个数的脉冲,其所需的时间是一定的,例如,输入脉冲的频率为2MHZ,则:计数2* 106 ---- 定时1秒。
因此,使用同一个接口芯片,既能进行计数,又能进行计时,统称为计时器/计数器。
(Timer/Counter简称T/C)。
计数器逻辑原理如图6-4所示。
主要构成:(1)控制寄存器;决定工作模式。
(2)状态寄存器;反应工作状态(可无,如8253无此寄存器)。
(3)初值寄存器;计数的初始值。
(4)计数输出寄存器;CPU从中读出当前计数值。
(5)计数器;执行计数操作,CPU不能访问。
工作原理:对CLK信号进行“减1计数”。
首先CPU把“控制字”,写入“控制寄存器”,把“计数初始值”写入“初值寄存器”,然后,定时/计数器按控制字要求计数。
计数从“计数初始值开始,每当CLK信号出现一次,计数值减1,当计数值减为0时,从OUT端输出规定的信号(具体形式与工作模式有关)。
当CLK信号出现时,计数值是否减1(即是否计数),受到“门控信号”GATE的影响,一般,仅当GATE有效时,才减1.门控信号GATE如何影响计数操作,以及输出端OUT在各种情况下输出的信号形式与定时/计数器的工作模式有关。
这里,应该指出如此三点。
803l单片机有2个16位的定时器/计数器:定时器0(T0)和定时器1(T1)。
T0由2个定时寄存器TH0和TL0构成,T1则由TH1和TL1构成,它们都分别映射在特殊功能寄存器中,从而可以通过对特殊功能寄存器中这些寄存器的读写来实现对这两个定时器的操作。
作定时器时,每一个机器周期定时寄存器自动加l,所以定时器也可看作是计量机器周期的计数器。
由于每个机器周期为12个时钟振荡周期,所以定时的分辨率是时钟振荡频率的1/12。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录第1章绪论 (1)1.1 课题背景 (1)1.2 设计题目 (1)1.3 设计功能技术指标 (1)第2章方框图的设计 (2)2.1 电路的方框图 (2)2.2 方框图的原理 (3)第3章单元电路的设计与分析 (4)3.1 多谐振荡电路 (4)3.2 分频电路 (5)3.3 控制电路 (6)3.4 译码显示电路 (7)第4章整机电路的组成 (8)4.1 整机电路原理图 (8)4.2 整机电路的工作原理 (9)第5章电路的组装与调试 (10)5.1 合理布局 (10)5.2 分级调试 (10)结论 (12)收获与体会 (13)致谢 (15)参考文献 (16)附录1 元器件清单 (17)课程设计任务书第1章绪论1.1 课题背景当时间到了现代电子技术得到了飞速的发展,以其方便快捷的特性在各行业得到了巨大的应用。
尤其是后来数字技术的发展更是使得现在的数据、信息的存储传输提供了巨大的便捷。
因此对电子技术的学习和练习就变得非常的必要。
这次的课程设计正是体现了这一必要性。
1.2 设计题目本次电子线路课程设计的设计题目是:电子秒表。
1.3 设计功能技术指标1. 计数范围000~999。
2. 具有启动、暂停、停止功能。
第2章方框图的设计2.1电路的方框图电路的主要部分由多谐振荡电路、控制电路、分频电路、计数电路译码及显示电路等单元电路组成。
如图2—1所示。
图2-1 电子秒表电路方框图2.2 方框图的原理1、多谐振荡电路多谐振荡器是由NE555构成的一种能产生矩形波的自激振荡器。
多谐振荡器没有稳态,只有两个暂稳态。
在工作时电路在这两个稳态之间自动的交替变换,由此产生矩形脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。
2、控制电路(1)清零的功能利用74LS90的使能端,74LS90的R01·R02=0 ,R91·R92=0计数进行。
R01·R02=1时清零。
将R01(2脚)接空相当于接高电平,R02(3脚)接单刀双制开关的中间端,然后两端分别接地与电源,则当开关合向地时计时,当开关合向电源时清零。
(2)暂停的功能用一个开关控制振荡器的输出端与分频电路的输入端的开合。
合则继续,开则暂停。
3、计数电路74LS90 是异步二—五—十进制加法计数器,将12脚与1脚相连组成十进制计数器。
并将6、7脚接地,2、3脚相与得零则计数器开始计数。
14脚为脉冲输入端,将前一个芯片的11脚与后一个的14脚连接则构成74LS90十进制计数的级联。
4、译码显示电路74LS47,是一种常用的七段显示译码器,该电路的输出为低电平有效,该译码器能够驱动共阳极七段数码管显示器显示0~9共10个数字的字形。
将其与数码管相应脚相连则构成译码显示电路。
第3章单元电路的设计与分析3.1 多谐振荡电路由555定时器和外接元件R1、R2、C构成多谐振荡器(本电路中为Rp、R),脚2与脚6直接相连。
电路没有稳态,仅存在两个暂稳态,电路亦不需要外接触发信号,利用电源通过R1、R2向C充电,以及C通过R2向放电端Dc放电,使电路产生振荡。
电容C在2/3Vcc和1/3Vcc之间充电和放电,从而在输出端得到一系列的矩形波,对应的波形如图3-1。
输出信号的时间参数是: T=tw1+tw2 (式3—1)tw1=0.7(R1+R2)C (式3—2)tw2=0.7R2C (式3—3)其中,tw1为Vc由1/3Vcc上升到2/3Vcc所需的时间(即电容充电的时间),tw2为Vc降回1/3Vcc的时间(即电容C放电所需的时间)。
555电路要求R1与R2均应不小于1KΩ,但两者之和应不大于3.3MΩ。
外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。
图3-1555电路图及波形图3.2 分频电路1.分频电路主要由74LS90完成,如图3-2所示为74LS90的引脚排列图,其中计数器接成十进制形式,对频率为10HZ 的时钟脉冲进行十分频,在输出端QD取得周期为1S 的矩形脉冲,作计数器的时钟输入。
通过不同的连接方式,74LS90 可以实现四种不同的逻辑功能;而且还可借助R(1) 、R 0 (2) 对计数器清零,借助S9(1) 、S9(2) 将计数器置9 。
其具体功能详述如下:(1) 计数脉冲从CP1输入,QA作为输出端,为二进制计数器。
(2) 计数脉冲从CP2输入,QDQCQB作为输出端,为异步五进制加法计数器。
图3-2 74LS90的引脚排列图图3-3 74LS90级联图2A 1D C B A 构成异步8421 码十进制加法计数器。
(4) 若将CP 1 与Q D 相连,计数脉冲由CP 2 输入, Q A 、Q D 、Q C 、Q B 作为输出端,则构成异步5421 码十进制加法计数器。
(5) 清零、置9 功能。
a) 异步清零当R 0 (1) 、R 0 (2) 均为“1”;S 9(1)、S 9(2) 中有“0”时,实现异步清零功能,即Q D Q C Q B Q A =0000 。
b) 置9 功能 当S 9 (1)、S 9(2) 均为“1”;R 0(1)、R 0(2) 中有“0”时,实现置9功能,即Q D Q C Q B Q A =1001。
3.3 控制电路(1)清零的功能利用74LS90的使能端,74LS90的R01·R02=0 ,R91·R92=0计数进行。
R01·R02=1时清零。
将R01(2脚)接空相当于接高电平,R02(3脚)接单刀双制开关的中间端,然后两端分别接地与电源,则当开关合向地时计时,当开关合向电源时清零。
(2)暂停的功能用一个开关控制振荡器的输出端与分频电路的输入端的开合。
合则继续,开则暂停。
3.4 译码显示电路74LS47是BCD-7段数码管译码器/驱动器,74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码,可以直接把数字转换为数码管的显示数字。
译码为编码的逆过程。
它将编码时赋予代码的含义“翻译”过来。
实现译码的逻辑电路成为译码器。
译码器输出与输入代码有唯一的对应关系。
74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用。
LT,RBI,BI,端接高电平时,从DCBA端输入BCD码时,从abcdefg端输出相应的数码管显示码。
结合四线-七段译码器7447可以现实0到9个数字。
第4章整机电路的组成4.1整机电路原理图整机电路由组成整机原理图见图4-1。
4.2整机电路的工作原理把电路接通电源,合上k1电路接通电源开始工作,数码管变亮。
k3合向1端则使得74ls90 R02接地,和R01相与为0,则秒表开始计数,如果合向3端,相与为1则数码管清零。
如果k2断开,振荡器与后面部分断开,则计时暂停,闭合则继续。
计数部分的输出的二进制数信号通过译码器的译码后送数码管显示。
第5章电路的组装与调试5.1合理布局电路在安装前要将各级进行合理布局,一般按照电路的顺序一级一级地布局,在此过程中我们本着以下原则:1. 了解元件管脚功能。
2. 布线尽可能少,合理进行布线。
3. 电源线用红线,地线用黑线。
4. 布线规律有制,尽量减少交叉。
5.美观大方。
注意事项:1.电路板各个所用电源,地都连起来。
2.防止接线短路。
3.元件注意不可接反。
5.2分级调试电路的调试过程一般是先分级调试,再级联调试,最后整机调试与性能指标测试。
1、振荡电路的测试示波器输出波形为调节Rp 使得输出波形的频率为10HZ 。
用555 定时器构成的多谐振荡器,作为时钟源。
2、计数器的测试计数器分别接成十进制形式,将计数器(1)(2)(3)级连,进行逻辑功能测试,并记录。
3、译码显示电路的测试看数码管的输出是否有输出,范围为000 ~999s 。
999后能清零。
4、控制电路拨动k3看显示是否清零、计时。
拨动k2看显示是否暂停、继续。
结论本次课程设计的题目是电子秒表,技术指标为:计数范围为0-999,可以清零、暂停。
至今各项指标都已实现。
整个过程历经3个星期,从资料的查询,方案的确定,以及电路板的制作。
本电路由555振荡器、控制、分频、计数、译码显示几个部分组成,各电路的功能都已实现且运行良好。
在本次设计的过程中,本组所设计和制作的电路还存在许多的不足之处。
如:布线稍微凌乱,原件摆放松散,工艺有所欠缺。
通过这课程设计,让我知道了实践对于学习的重要性,让我坚定了要更加刻苦的学习电子知识并多做实践的决心。
明白了理论只有在与实践相结合后才更有力量。
相信自己会在老师的引导下努力成为一名具备专业的电子知识的高素质的大学生。
为国家,为人民做出自己的贡献,实现自己的人生价值。
这不紧紧是一个设计,更重要的是一种人生的锻炼。
相信我会为我的成功和目标而努力奋斗。
收获与体会本次课程设计对数字电子技术有了更进一步的熟悉,实际操作和课本上的知识有很大联系,但又高于课本,一个看似很简单的电路,要动手把它设计出来就比较困难了,因为是设计要求我们在以后的学习中注意这一点,要把课本上所学到的知识和实际联系起来,同时通过本次电路的设计,不但巩固了所学知识,也使我们把理论与实践从真正意义上结合起来,增强了学习的兴趣,考验了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力。
通过本次本次课程设计学习了数字电路中时钟发生器及计数、译码显示等单元电路的综合应用和学习电子秒表的调试方法。
加强了我们动手、思考和解决问题的能力。
在整个设计过程中,我们通过这个方案设计了一套电路原理图,和芯片上的选择。
这个方案总共使用了74LS90,74LS74,NE555等芯片。
生活就是这样,汗水预示着结果也见证着收获。
劳动是人类生存生活永恒不变的话题。
通过课程设计,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。
我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。
我们同样可以为社会作出我们应该做的一切,也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。
社会需要我们,我们也可以为社会而工作。
既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。
同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。