第五章 数字基带传输和时域均衡-张文甲

合集下载

文元美现代通信原理课件第4章数字信号的基带传输

文元美现代通信原理课件第4章数字信号的基带传输

2021/3/3
16
数字信号的基带传输
10. 多进制码
00
3
00
2
01
1 10
10
0
11
t
3 01
1 0 -1 -3
01 t
10
11
(a)
(b)
四进制代码波形
2021/3/3
17
数字信号的基带传输
用数字电路实现码型之间的变换
1、AMI HDB3(分立元件或专用芯片)
b
2、单极性不归零码 单极性归零码
(i) 信号反转码(CMI)
t
5
数字信号的基带传输
1. 单极性不归零(NRZ)码
(1) 发送能量大,有利于提高接收端信噪比;
(2) 在信道上占用频带较窄;
(3) 有直流分量,将导致信号的失真与畸变;且由于直流分 量的存在,无法使用一些交流耦合的线路和设备;
(4) 不能直接提取位同步信息;
(5) 接收单极性NRZ码的判决电平应取“1”码电平的一半。
5.
2021/3/3
10
数字信号的基带传输
6. 交替极性码(AMI)
2021/3/3
11
数字信号的基带传输
7. 三阶高密度双极性码(HDB3) 当信码序列中加入破坏脉冲以后,信码B和破坏脉冲V的正 负必须满足如下两个条件:
2021/3/3
12
数字信号的基带传输
(1) B码和V码各自都应始终保持极性交替变化的规律,以 便确保编好的码中没有直流成分。
(2) V码必须与前一个码(信码B)同极性,以便和正常的AMI 码区分开来。如果这个条件得不到满足,那么应该在四个连 “0”码的第一个“0”码位置上加一个与V码同极性的补信码, 用符号B′表示。此时B码和B′码合起来保持条件(1)中信码极性 交替变换的规律。

通信原理答案5

通信原理答案5

第五章数字基带传输系统第六章设随机二进制序列中的0和1分别由g ( t )和-g ( t )组成,它们的出现概率分别为P 及(1-P ):求其功率谱密度及功率;解:(1)随机二进制序列的双边功率谱密度为PS 妒fsP(1-P)|G i(f)-G2(f)l 2+ 刀 f s[PG*mfS + (1-P)G 2(mfJ]| 2 f mfj由 gl (t)=-g2(t)=g(t)得PS 妒 4fsP(1-P)G 2(f) + f s(1-2PF 刀 |G(mf 訓 2 f mf S式中,G(f)是g (t )的频谱函数,在功率谱密度 P s (3中,第一部分是其连续谱部分,第二部分是其离散成分。

随机二进制序列的功率为S=1/2 Ji/P s (q )d 3=4f s P(1-P)/ G 2(f)df + 刀 |f s (1-2P) G(mf s )| 2/S(f- mf s )df=4f s P(1-P)/ G 2(f)df + f gP(1-P)2E |G(mf g)| 2 (2)当基带脉冲波形g(t)为0,其他tg(t)的傅立叶变换G(f)为sin f s T s因为G(f) T s 01,|t| 号40,其他tg(t)1,|t| IG(f)sin fT sfT s由题(1)中的结果知,此时的离散分量为0。

(3) g(t)g (t)的傅立叶变换G ( f)为T s sin f s T s / 2 T s G(f) s s s s 02 f s T s / 2所以该二进制序列存在离散分量f s1.设某二进制数字基带信号的基本脉冲为三角形脉冲,如图所示。

图中信号“ 1”和“ 0”分别用g(t)的有无表示,且“ 1”和“ 0”出现的概率相等: 当p=1/2代入功率谱密度函数式,得T s为码元间隔,数字(1) 求该数字基带信号的功率谱密度,并画出功率谱密度图;⑵能否从该数字基带信中提取码元同步所需的频率的功率。

一种WCDMA基带发送的实现方案

一种WCDMA基带发送的实现方案

一种WCDMA基带发送的实现方案
罗翔
【期刊名称】《移动通信》
【年(卷),期】2003(27)1
【摘要】本文详细阐述了流水线操作的DSP与FPGA不同处理模块的功能划分,着重介绍了各功能模块和模块之间数据接口的设计,并给出了相应的硬件实现框图.【总页数】3页(P90-92)
【作者】罗翔
【作者单位】解放军信息工程大学
【正文语种】中文
【中图分类】TN92
【相关文献】
1.WCDMA基站下行专用信道基带处理模块的一种三阶段前向流水式实现方案研究 [J], 杨洪生;宋国文;李娟;员艳荣
2.一种高效的WCDMA系统基带数据处理实现方案 [J], 李明;胡捍英
3.WCDMA基带发送单元的实现 [J], 江玲;郭佳
4.一种WCDMA基带发送的实现方案 [J], 罗翔
5.WCDMA基站下行专用信道基带处理模块的一种实现方案 [J], 杨洪生;李娟;员艳容
因版权原因,仅展示原文概要,查看原文内容请购买。

毛京丽《数字通信原理第3版》习题解答

毛京丽《数字通信原理第3版》习题解答

《数字通信原理》习题解答第1章 概述1-1 模拟信号和数字信号的特点分别是什么?答:模拟信号的特点是幅度连续;数字信号的特点幅度离散。

1-2 数字通信系统的构成模型中信源编码和信源解码的作用是什么?画出话音信号的基带传输系统模型。

答:信源编码的作用把模拟信号变换成数字信号,即完成模/数变换的任务。

信源解码的作用把数字信号还原为模拟信号,即完成数/模变换的任务。

话音信号的基带传输系统模型为1-3 数字通信的特点有哪些?答:数字通信的特点是:(1)抗干扰性强,无噪声积累;(2)便于加密处理;(3)采用时分复用实现多路通信;(4)设备便于集成化、微型化;(5)占用信道频带较宽。

1-4 为什么说数字通信的抗干扰性强,无噪声积累?答:对于数字通信,由于数字信号的幅值为有限的离散值(通常取二个幅值),在传输过程中受到噪声干扰,当信噪比还没有恶化到一定程度时,即在适当的距离,采用再生的方法,再生成已消除噪声干扰的原发送信号,所以说数字通信的抗干扰性强,无噪声积累。

1-5 设数字信号码元时间长度为1s μ,如采用四电平传输,求信息传输速率及符号速率。

答:符号速率为 Bd N 66101011===-码元时间 信息传输速率为s Mbit s bit M N R /2/1024log 10log 6262=⨯=⋅==1-6 接上例,若传输过程中2秒误1个比特,求误码率。

答:76105.210221)()(-⨯=⨯⨯==N n P e 传输总码元发生误码个数1-7 假设数字通信系统的频带宽度为kHz 1024,可传输s kbit /2048的比特率,试问其频带利用率为多少Hz s bit //?答:频带利用率为 Hz s bit Hz s bit //2101024102048)//33=⨯⨯==(频带宽度信息传输速率η1-8数字通信技术的发展趋势是什么?答:数字通信技术目前正向着以下几个方向发展:小型化、智能化,数字处理技术的开发应用,用户数字化和高速大容量等。

通信原理第6章数字基带传输系统

通信原理第6章数字基带传输系统
通信原理
第6章 数字基带传输系统
1
第6章 数字基带传输系统
内蒙古大学电子信息工程学院
《通信原理》
6.1 数字基带信号及其频谱特性 6.2 基带传输的常用码型 6.3 数字基带信号传输与码间串扰 6.4 无码间串扰的基带传输特性 6.5 基带传输系统的抗噪声性能 6.6 眼图 6.7 部分响应和时域均衡
2
前言
内蒙古大学电子信息工程学院
本章主要内容
《通信原理》
了解数字基带信号的特性,包括波形、码型和频谱特性,重点 研究如何设计基带传输的总特性,以消除码间干扰;
研究如何有效地减小信道加性噪声的影响,以提高系统抗噪声 性能 。
介绍一种利用实验手段,方便地估计系统性能的方法:眼图
提出改善数字基带传输性能的两个措施: 1. 时域均衡 2. 部分响应
图6-2 随机脉冲序列示意波形
t
14
6.1 数字基带信号及其频谱特性
内蒙古大学电子信息工程学院
《通信原理》
6.1.2 基带信号的频谱特性——随机序列的功率谱
研究随机序列频谱的目的:
了解信号频谱特性:频带宽度,频谱分量,有无直流分量等。
合理选择匹配信道或根据信道特性选择合适的码型;
确定是否包含位定时(位同步)信息。
3
内蒙古大学电子信息工程学院
前言
《通信原理》
数字基带信号与数字基带传输系统
数字基带信号:未经调制的数字信号,所占据的频谱是从 零频或很低的频率开始的。
数字基带传输系统:在某些具有低通特性的有线信道中,特 别是在传输距离不太远的情况下,基带信号可以不经过载波调 制而直接进行传输。
数字带通(频带)传输系统:包括调制和解调过程的传输系统。 在无线或光纤信道等具有带通特性的信道中,数字基带信号必 须经过载波调制才能传输。

数字基带传输系统设计

数字基带传输系统设计
大 连 大 学
论文题目:数字基带传输系统设计 学 院:信息工程学院
专 业 班 级 : 电 信 092 班 指导老师:张瑾 学生姓名:叶园园 王建峰 陈鑫 吴涛 李文科
完成日期: 2012 年 10 月 5 日
研究报告
1、项目题目
数字基带传输系统设计
2、项目概述
数字通信的基带传输方式是数字通信最基本的传输方式, 随着数字通信技术 的发展, 这种方式也有迅速发展的趋势。由于理论上已经证明任何一个采用线性 调制的频带传输系统, 总可以由一个等效的基带传输系统替代,所以对基带传输 系统的研究也将迁移到频带传输系统的研究中,因而具有普遍意义。 本项目选用 Altera 公司的 EP2C5T144C8N 芯片作为处理器, 来实现的数字基 带传输系统。 使其具有数字基带信号发生、 多种编码输出、 信道传输 (模拟加噪) 及解码恢复等功能。其中基带传输码型的编码与解码以及信道噪声的模拟,用 VHDL 硬件描述语言编程实现。单/双极性变换、噪声叠加与信道传输幅频特性的 模拟, 将分别采用中规模数字集成电路和模拟电路实现。系统将具有工作可靠性 高、可在线修改设计等优点。library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hdb3_coding is port( data_in :in std_logic; clock :in std_logic; data_out :out std_logic_vector(1 downto 0)); end hdb3_coding; architecture rtl of hdb3_coding is signal reg :std_logic_vector(3 downto 0); signal parity :std_logic; --记录破坏点间 1 码个数的奇偶性 signal judge_v :std_logic; --判断是否有破坏符 signal grant_cnt:std_logic; --允许开始计算破坏点间的 1 码个数 signal last_sign:std_logic; --上一输出的符号 signal v_cnt :std_logic_vector(2 downto 0);--v 点位置跟踪计数器 begin process(clock) -- 移位寄存器 ,插 V begin if rising_edge(clock) then if data_in='0' and reg(3 downto 1)="000" then reg<=('1' & reg(3 downto 1)); judge_v<='1'; grant_cnt<='1'; else reg<=data_in & reg(3 downto 1); judge_v<='0'; grant_cnt<='0'; end if; end if; end process; process(clock) --计数 begin if rising_edge(clock) then if grant_cnt='1' and data_in='0' then parity<='0'; elsif grant_cnt='1' and data_in='1' then parity<='1'; elsif data_in='1' then parity<=not parity; end if; end if; end process; process(clock) --V 点跟踪 begin if rising_edge(clock) then

现代通信技术(P-5数字基带)

现代通信技术(P-5数字基带)
在短距离的有线通信或利用再生中继进行 的长距离有线通信中,数字基带信号可以直接 传送,称之为数字信号的基带传输。
② 数字信号的载波传输:
在另外一些信道,特别是无线信道和光纤 信道中,数字基带信号必须经过调制,将信号 频谱搬移到高频处才能在信道中传输,这种传 输方式称为数字信号的载波传输或调制传输。
2013/12/29
因而在传输频带的高频和低频部分均受限 时,必须考虑码型选择问题。
2013/12/29
14
《现代通信技术》第五章 数字信号的基带传输 四川大学电子信息学院 夏文龙
(3)码型设计原则:
① 对于传输频带低端受限的信道,一般来说线 路传输码型的频谱中应不含直流分量。
② 尽量减少基带信号频谱中的高频分量,以便 节省传输频带和减小串扰。
2013/12/29
25
《现代通信技术》第五章 数字信号的基带传输 四川大学电子信息学院 夏文龙
CMI码有时又称为1B2B码,它的传输速 率为编码前的原信号速率的两倍,要占用较宽 的频带。这种码型的优点是无直流分量,波形 跳变频繁,便于提取定时信号,并具有一定的 检测错误的能力,因为在正常情况下“10”不 可能出现,连续的“00”和“11”也不可能出现, 从而不会连续出现4个以上的“0”码或“1”码, 这种相关性就可以用来检测因信道而产生的部 分错误。
2013/12/29
27
《现代通信技术》第五章 数字信号的基带传输 四川大学电子信息学院 夏文龙
密勒码实际是数字双相码经过一级触发器 后得到的波形,因此,它是双相码的差分形式。 它可以克服双相码中所存在的相位不确定问题。 此外,该码中直流分量很少,频带窄,约为双 相码的一半。
利用密勒码的脉冲最大宽度为两个码元周 期,最小宽度为一个码元周期的特点,可以检 测传输的误码或线路的故障。这种码最初被用 于气象、卫星通信及磁带记录,后来在低速基 带数传机中也得到了应用。

无线通信工程--第04讲-基带传输

无线通信工程--第04讲-基带传输
fTS sin fTS
特性的滤波器称为网孔均衡器。
最佳检测准则
基带传输模型
u(t) HT (f)
u(t) Ik (t kTs ) k
v(t) x(t) n(t)
v(t)
+
HR (f)
n0 (t)
x(t) Ik h(t kTs ) k
并有: h(t) HT ( f )H R ( f )e j2 ftdf
S
(
f
)
1 TS
p1(1 p1) G1( f ) G0 ( f ) 2
u(t,T)=(t,T)+(t,T)
其中: (t,T)=E(u(t,T))
(t,T)=u(t,T)- (t,T)
讨论:线谱、连续谱
举例
双极性基带信号 波形集: g1(t)=g(t),概率1/2 g0(t)=-g(t),概率1/2 功率谱密度:
抽样点信噪比
在t=t0时刻对v(t)抽样,得 到:
v0 v(t0 ) x(t0 ) n(t0 ) x0 n0
其中n0是高斯噪声,均值 为0,方差为
n2 N0 H R ( f ) 2 df
而: x0 I0h0 I0 HT ( f )H R ( f )e j2 ft0 df
以上假定系统满足奈奎斯 特第一准则。
第三准则
第三准则:波形面积无失 真准则。
第n时隙的波形面积,只 决定于该时隙码元的取值, 而和其它时隙的码元无关。
可以证明:满足第三准则 的滤波器,是一个对矩形 脉冲的输出响应满足第一 准则的滤波器。
关系如下:
N3
(
f
)
N1
(
f
)•
sin
fTS
fTS

重邮通信原理习题答案解析蒋青于秀兰范馨月

重邮通信原理习题答案解析蒋青于秀兰范馨月

第1章 绪论 习题解答1-1解:每个消息的平均信息量为222111111()log 2log log 448822H x =--⨯- =1.75bit/符号1-2解:(1)两粒骰子向上面的小圆点数之和为3时有(1,2)和(2,1)两种可能,总的组合数为116636C C ⨯=,则圆点数之和为3出现的概率为 3213618p ==故包含的信息量为2321(3)log log 4.17()18I p bit =-=-=(2)小圆点数之和为7的情况有(1,6)(6,1)(2,5)(5,2)(3,4)(4,3),则圆点数之和为7出现的概率为761366p ==故包含的信息量为2721(7)log log 2.585()6I p bit =-=-=1-3 解:(1)每个字母的持续时间为2⨯10ms ,所以字母传输速率为4315021010B R Baud-==⨯⨯不同字母等可能出现时,每个字母的平均信息量为2()log 42H x == bit/符号 平均信息速率为4()100b B R RH x == bit/s(2)每个字母的平均信息量为222211111133()log log log log 5544441010H x =---- =1.985 bit/符号所以平均信息速率为4()99.25bB R RH x == (bit/s)1-4 解:(1)根据题意,可得:23(0)log (0)log 1.4158I P =-=-≈ 比特 21(1)log (1)log 24I P =-=-= 比特21(2)log (2)log 24I P =-=-= 比特 21(3)log (3)log 38I P =-=-= 比特(2)法一:因为离散信源是无记忆的,所以其发出的消息序列中各符号是无依赖的、统计独立的。

因此,此消息的信息量就等于消息中各个符号的信息量之和。

此消息中共有14个“0”符号,13个“1”符号,12个“2”符号,6个“3”符号,则该消息的信息量是: 14(0)13(1)12(2)6(3)I I I I I =+++ 14 1.41513212263≈⨯+⨯+⨯+⨯87.81≈ 比特此消息中共含45个信源符号,这45个信源符号携带有87.81比特信息量,则此消息中平均每个符号携带的信息量为287.81/45 1.95I =≈ 比特/符号法二:若用熵的概念计算,有222331111()log 2log log 1.906(/)884488H x bit =--⨯-=符号说明:以上两种结果略有差别的原因在于,它们平均处理方法不同,前一种按算术平均的方法进行计算,后一种是按熵的概念进行计算,结果可能存在误差。

宁夏大学物理电气信息学院现代通信原理复习提纲及练习

宁夏大学物理电气信息学院现代通信原理复习提纲及练习

《现代通信原理》复习提纲及练习题以下为本课程的各章考试大纲第一章绪论常用通信术语通信系统的组成和分类,通信方式数字通信系统的主要特点离散信源的信息量,平均信息量码元速率、信息速率、频带利用率、误码率第二章随机过程随机过程的基本概念平稳随机国策怀念感的定义、各态历经性,相关函数与功率谱密度高斯过程的帝国眼、性质、一维概率密度函数和分布函数窄带随机过程的表达式和统计特性正弦加窄带高斯过程的统计特性白噪声和带限白噪声随机过程通过线形系统第三章信道与噪声信道的定义、分类和模型恒参信道的传输特性及其对信号的影响随参信道的传输媒介的三个特点,多径传播对信号的影响连续信道的信道容量,香农公式信道加性噪声的统计特性第四章模拟调制系统调制的目的、定义和分类常规双边带调幅(AM),抑制载波的双边带调幅(DSB-SC)单边带调制(SSB),残留边带调制(VSB)上述各种线形调制的时域和频域表示,调制与解调方法线性调制的一般模型线性调制的抗噪声性能、门限效应调频(FM)的基本概念单频调制时宽带调频信号的时域表示,宽带调频信号的频带宽度计算第五章数字基带传输系统数字基带信号与频谱特性AMI、HDB3、PST码、双相码的编码原理和主要优缺点无码间干扰的基带系统的抗噪声性能部分响应系统时域均衡原理第六章模拟信号的数字传输抽样定理均匀量化与非均匀量化脉冲幅度调制(PAM)原理脉冲编码调制(PCM)原理及抗噪声性能增量调制原理及抗噪声性能第七章数字频带传输系统二进制数字调制解调原理二进制ASK、FSK、PSK、DPSK系统的抗噪声性能二进制数字调制系统的性能比较4PSK、4DPSK信号调制解调原理第八章数字信号的最佳接收数字信号接收的统计表述及最佳接收准则匹配滤波器原理第九章差错控制编码纠错编码的基本概念,方法和差错的种类码距、码重及与纠错检错能力的关系常用简单编码线性(分组)码的定义、性质,生成矩阵、监督矩阵循环码的定义、性质,码多项式、生成多项式、生成矩阵、监督矩阵循环码的编码方法第十章同步同步的定义、分类、载波同步、位同步、群同步的定义作用上述各种同步的实现方法连贯式插入法实现群同步的基本原理,巴克码第十一章复用和数字复接技术复用的定义及分类时分复用和频分复用的定义和基本原理练习题填空题1、误码率是指数字通信中表征消息可靠程度的重要性能指标,它是指 _____________。

通信原理第六版-思考题答案-樊昌信-曹丽娜-编著

通信原理第六版-思考题答案-樊昌信-曹丽娜-编著

通信原理第六版-思考题答案-樊昌信-曹丽娜-编著第一章绪论1-1 以无线广播和电视为例,说明图1-1模型中信息源,受信者及信道包含的具体内容是什么?1-2 数字通信有那些特点?答:第一,数字传输抗干扰能力强,尤其在中继时,数字信号可以再生而消除噪声的积累;第二,传输差错可以控制,从而改善了传输质量;第三,便于使用现代数字信号处理技术对数字信息进行处理;第四,数字信息易于做高保密性的加密处理;第五,数字通信可以综合传递各种消息,使通信系统功能增强。

1-3 按消息的物理特征,通信系统如何分类?答:根据消息的特征不同,通信系统可以分为:第一:电报通信系统;第二:电话通信系统;第三:数据通信系统;第四:图像通信系统。

1-4 按调制方式,通信系统如何分类?答:按调制方式,通信系统可以分为:基带传输和频带传输。

1-5 按传输信号的特征,通信系统如何分类?答:按传输信号的特征,通信系统可以分为:模拟通信系统和数字通信系统。

1-6 按传送信号的复用方式,通信系统如何分类?答:按传送信号的复用方式,通信系统可以分为:频分复用,时分复用和码分复用。

1-7 通信方式是如何确定的?答:通信方式是根据消息的传送方向与时间关系确定的。

1-8 通信系统的主要性能指标是什么?答:通信系统的主要性能指标是:传输速率和差错率。

1-9 什么是误码率?什么是误信率?它们之间的关系如何?答:所谓误码率,是指错误接收的码元数在传送总码元数中所占的比例,或者更确切起的说,误码率即是码元在传输系统中被传错的概率。

所谓误信率,又称误比特率,是指错误接收的信息量在传送信息总量中所占的比例,或者更确切地说,它是码元的信息量在传输系统中被丢失的概率。

二者之间的关系:它们都是表示差错率的。

1-10 什么是码元速率?什么是信息速率? 它们之间的关系如何?答:码元速率是指每秒钟传送码元的数目,单位为“波特“,常用符号“B”表示。

信息速率是指每秒钟传递的信息量,单位是比特/秒。

第5章 现代通信原理与技术 西安电子科技大学(张辉 曹丽娜 编著第二版)

第5章 现代通信原理与技术 西安电子科技大学(张辉 曹丽娜 编著第二版)

依靠同步提取电路从接收信号中提取,位定时的准确与否将直
接影响判决效果,这一点将在第11章中详细讨论。 图 5 - 2 给出了图 5 - 1 所示基带系统的各点波形示意图 。
第5章 数字基带传输系统
图5-2 基带系统个点波形示意图
第5章 数字基带传输系统
其中, (a) 是输入的基带信号,这是最常见的单极性非归 零信号;(b)是进行码型变换后的波形; (c)对(a)而言进行了码 型及波形的变换,是一种适合在信道中传输的波形; (d)是信 道输出信号,显然由于信道频率特性不理想,波形发生失真 并叠加了噪声;(e)为接收滤波器输出波形, 与(d)相比,失真和 噪声减弱;(f)是位定时同步脉冲; (g)为恢复的信息,其中第4 个码元发生误码,误码的原因之一是信道加性噪声,之二是 传输总特性(包括收、发滤波器和信道的特性)不理想引起 的波形延迟、展宽、拖尾等畸变,使码元之间相互串扰。此 时,实际抽样判决值不仅有本码元的值,还有其他码元在该 码元抽样时刻的串扰值及噪声。显然,接收端能否正确恢复 信息,在于能否有效地抑制噪声和减小码间串扰, 这两点也 正是本章讨论的重点。
由于v(t)是以Ts为周期的周期信号,故
v(t )
可以展成傅氏级数 式中
n
Pg (t nT ) (1 P) g
1 s

2
(t nTs )
(5.2 - 11)
v(t )
m
C

TS / 2

m
e
j 2mf s t
1 Cm Ts
TS / 2
第5章 数字基带传输系统
第5章 数字基带传输系统
5.1 数字基带传输概述
5.2 数字基带信号及其频谱特性

通信原理第五章习题解答

通信原理第五章习题解答

通信原理第五章习题解答习题5-1 设待发送的数字序列为10110010,试分别画出2ASK 、2FSK 、2PSK 和2DPSK 的信号波形。

已知在2ASK 、2PSK 和2DPSK 中载频为码元速率的2倍;在2FSK 中,0码元的载频为码元速率的2倍,1码元的载频为码元速率的3倍。

解:波形略5-2 已知某2ASK 系统的码元传输速率为1200B ,采用的载波信号为Acos(48π⨯102t ) ,所传送的数字信号序列为101100011:(1)试构成一种2ASK 信号调制器原理框图,并画出2ASK 信号的时间波形;(2)试画出2ASK 信号频谱结构示意图,并计算其带宽。

开关电路cos ωc t(a )(b )(t )(t )图5.2.1-2 2ASK 信号调制原理框图解:(1)2ASK 信号调制器原理框图如图5.2.1-2,2ASK 信号的时间波形略。

图5.2.1-5 2ASK 信号的功率谱(2)2ASK 信号频谱结构示意图如图5.2.1-5,则其带宽为B 2ASK =2f s =2400Hz 。

5-3 若对题5-2中的2ASK 信号采用包络检波方式进行解调,试构成解调器原理图,并画出各点时间波形。

解:2ASK 信号采用包络检波的解调器原理图:e1各点时间波形:(下图对应各点要换成101100011)5-4 设待发送的二进制信息为1100100010,采用2FSK 方式传输。

发1码时的波形为A cos(2000π t+θ1) ,发0码时的波形为A cos(8000π t+θ0) ,码元速率为1000B :(1)试构成一种2FSK 信号调制器原理框图,并画出2FSK 信号的时间波形;(2)试画出2FSK 信号频谱结构示意图,并计算其带宽。

解:(1)2FSK 信号调制器原理框图如下图,时间波形略。

(t )s (t )(2)2FSK 信号频谱结构示意图如下图,其带宽B 2FSK =f 2-f 1+2f s =4000-1000+2⨯1000=5000Hz 。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

=
1 ( = 0) 0 ( ≠ 0)
奈奎斯特第一准则: 为使 满足:
= 1 ( = 0) 0 ( ≠ 0)
其充分必要条件是 的傅里叶变换 必须满足:
+
=
奈奎斯特第一准则的证明(1)
= ( ) = =
( )/ /
t=nT采样: 频谱分解: 变量置换: 为周期函数, 展开 :
( )
) ( + −
)

= ( ) (− )

( , + )=
( ) ( −
) ( −
)

( , + )=
( −
)
( ) ( −
)

=
1
平均自相关函数为: ( )= ( ) ( − )
循环平稳过程s(t)功率谱密度: ( )= ( ) ( )
基带码流功率谱密度(3)
( )= ( ) ( )
{
= 1 ( − ) /2 2
= +
( )=
2
(
( −
2
)
)
=
+

( −
离散直流分量
)
A
/2
离散的时钟分量(f=1/T) 奇次谐波分量
2 Rb
4 Rb
6 Rb
基带信号设计
− 二进制信号序列 = 1 将二进制变 换为M进制 − M进制序列 = ∗ , =2 发送滤波器 ↔ ( ) − M进制数字 脉冲信号 = /
▪ 由于实际信道频带有限,信号在时间上发送时散效应,波形展宽。导致 发生码间干扰(ISI)。
光纤通信系统信号色散和无线通信中的多径效 应都会对数据传输产生ISI。
码间干扰数学分析
{an} s(t) ( ) ℎ ( ) s = = = nc(t) 零均值高斯噪声 yk ℎ ( ) y(t) ( − ) 判决
双相码(Manchester)
▪ 双相码(双流码),规则: 数字“1”=> “10” 数字“0”=> “01” ▪ 优点:
▪ 每个码自身正负平衡,无直流分量 ▪ 电平跳跃频繁,有利于定时产生 ▪ 便于误码自检
▪ 缺点:
▪ 脉冲变窄,频带宽度变宽 = 双相码应用广泛: • 10BASE-T Ethernet (IEEE 802.3) • RFID or near field communication
=
1
sin (
) ( )
频谱改造
bn
AMI码 信号波形
1
0
1
1
0
0
0
0
0
0
0
1
1
0 0
0 0
0 0
0 0
0 0
0
1
+1
0 -1 +1
0
0
0
0
0
0
0 -1 +1
0 -1
HDBn码(high density bipolar )
▪ 解决AMI中的连零问题 ▪ HDB3的编码规则:
1. 当连“0”数小于等于3时,按AMI处理 2. 当连“0”个数超过3时,则将第4个“0”改为与前面的“1”同极性的脉 冲,记为+V或-V,称之为破坏点。相邻V码的极性必须交替出现,以确保 编好的码中无直流; 3. 为了便于识别,V码的极性应与其前一个非“0”脉冲的极性相同,否则, 将四连“0”的第一个“0”更改为与该破坏脉冲相同极性的脉冲,并记为 +B或-B;
= ( 1 − ) 2 = ( )=
=
(
)
A
无离散直流分量 连续谱形状取决于
Rb
2 Rb
3 Rb
例:单极性归零码
▪ 已知2PAM信号单极性归零码,其中二进制序列{bn}中各符号之间互不相 关,其对应的幅度序列{an}取值为+A或者0,两者等概率出现,均值ma, 发送滤波器的冲激响应是gT(t)矩形归零脉冲,求该单极性归零码的功率 谱密度的计算公式(设A=1)。
模拟信号数字 传输(第四章) 减法
差错控制编 码(第十章) 加法
数字信号基带/带通 传输(第七/八章) 乘法
信道
信宿
信源译码器
信道差错控 制译码器 接收设备
数字解制器
▪ 数字调制器:2或M进制,基带调制和频带调制
数字基带信号和系统
▪ 数字基带信号:功率谱密度是低通型的数字信号。 ▪ 基带信道:信道传递函数是低通型的。如双绞线,同轴电缆。 ▪ 数字基带系统:基带信号通过通过基带信道传输。 ▪ 为什么研究数字基带系统:
+
▪ 滚降系数: = 其中 = = + / 0 ≤ = ≤ 1。滚降宽度: = /2 (1 + )
▪ 带宽与速率的关系: ▪ 频带利用率: = =
升余弦频谱(2)
= 1 − + /2 ) 2 0 ≤ 1/2 − /2 1/2 − /2 ≤ ≥ 1/2 + /2 ≤ 1/2 + /2
2
(
▪ ▪
=0,理想低通滤波器(LPF), 频带利用率为2 baud/Hz =1,截止频率W为Rs,频带利用 率为1 baud/Hz
}的自相关特性
脉冲
( )的频谱形状
若{
}是零均值不相关序列,则
( )可以简化为: , 0, =0 ≠0
( )= 因此, ( )可以简化为:
( )=
( )
Gt(f)是连续有界函 数,此时,基带信 号的功率谱是连续 有界,为连续谱
基带码流功率谱密度(4)
若{ }是均值不为零不相关序列, 构造: = +
HDBn码(high density bipolar )
▪ HDB3码的特点
▪ 克服长连零问题(小于等于3),有利于位同步的提取 ▪ 无直流分量 ▪ 有误码扩散 ▪ 具有误码自检能力 ▪ 编码相对较复杂 ▪ HDB3码是应用最为广泛的码型, A律PCM四次群以下的接口码型均为HDB3码
数字基带传输系统及符号间干扰

=
,因此 为均值为零序列:
− + −
=

=
=
+
( −
)
均值不为零不相关序列,功率谱密度除了连续谱外,还存在频率是1/Ts整 数倍的冲激分量,称为离散谱。
例:双极性不归零码
▪ 已知2PAM信号双极性不归零码,其中二进制序列{bn}中各符号之间互不 相关,其对应的幅度序列{an}取值为+A或者-A,两者等概率出现,均值 ma=0,发送滤波器的冲激响应是gT(t)矩形不归零脉冲,求该双极性不归 零码的功率谱密度的计算公式(设A=1)。
▪ 传输码型的选择:极性,进制,不相关/相关
▪ 发送滤波器的选择:连续谱形状
线路码型的设计要求
▪ 对于低频受限信道,线路码型中不应含直流分量,同时低频分量少 ▪ 能较方便提取定时(位同步)信息 ▪ 有好的频带利用率和抗噪声性能 ▪ 具有误码自检能力 ▪ 避免出现误码扩散现象 ▪ 编译码尽量简单
直流分量 同轴电缆的传输要求:由于均衡与屏 蔽的困难,不使用低于60Hz的频率。
2 Rb
4 Rb
6 Rb
差分码
bn an
bn ~ 绝对码 an ~ 相对码
差分编码 (相对编码)
A 0 A 0 1 1 1 0
延迟Tb
an bn an 1
0 0 1 二进制单极性不归零码
1
单极性不归零传号差分码 跳变:“1”
▪ 优点:克服极性或者相位模糊。 ▪ 缺点:信道有误码时,译码会引起误码扩散。 ▪ 差分编码,其随机特性未改变,功率谱与变换前相同。
▪ 近程通信广泛应用 ▪ 基本理论相通 ▪ 线性调制的带通传输系统 可以等效基带传输系统来研究
数字信号基本码型
− 二进制信号序列 = 1 将二进制变 换为M进制 − M进制序列 = ∗ , =2 发送滤波器 ↔ ( ) − M进制数字 脉冲信号 = /
▪ 按电脉冲极性可分为单极性码(如:0和1)和双极性码(如:-1和+1) ▪ 按占空比可分为归零码(RZ)和不归零码(NRZ) ▪ 按电平数可分为二进制码和多电平码
= 0 ≤ ≤ 0 ℎ 0 ≤ ≤ 0 ℎ /2 归零
=
不归零
例:
▪ 二进制单极性不归零码 :{0,1} => :{0,1}
=
(
1 − ) 2
▪ 二进制双极性归零码
:{0,1} =>
:{-1,1}
=
1 ( − ) 2
有无离散直流分量,功率谱主瓣位置
周期冲激序列的性质
时域周期冲激序列和频域周期冲激序列的傅里叶级数
{an} s(t) ( ) ℎ ( ) nc(t) ℎ ( ) y(t) yk 判决
▪ 数字基带系统包括
▪ 发送滤波器 ( ) -> 产生合适的数字波 ▪ 恒参信道:脉冲响应ℎ ( ) ▪ 信道噪声nc(t) ▪ 接收滤波器ℎ ( ) -> 滤除不必要的带外噪声,对信号做“必要”处理 ▪ 采样-判决Ts
a0
a1
a2
a3
...
a0 a1 a2
a1
抽 样 脉 冲
...
29
奈奎斯特第一准则-小结
▪ 如果按Rs=1/Ts 的速率发送符号,接收到的波形峰值上不会发生 ISI,因此可以将对每个符号的抽样判决时刻确定在这一点。




1 ~ 奈奎斯特带宽 2Ts 1 符号速率:Rs 2W ~ 奈奎斯特速率 Ts 1 ~ 奈奎斯特间隔 符号周期:Ts 2W 理想 Rs 频带利用率: 2 Baud Hz 极限 W 系统带宽:W
( )/
( ) +
相关文档
最新文档